1、浙江大学城市学院 实验报告纸 实验名称 8 线-3 线编码器设计 指导老师 尚丽娜 成绩 专业 电科 班级 1102 姓名 汪磊 学号 31102333 一、 实验目的1、 学习 QuartusII 软件,学习使用硬件描述语言设计电路。2、 学习 DE1 平台基本构成,能够使用 DE1 平台进行简单设计。二、 实验要求使用 QuartusII 文本输入法设计 8 线-3 线编码器。使用 QuartusII 软件进行文本输入,并对设计电路进行仿真,并下载到硬件平台,自行定义硬件平台使用端口。三、 实验设备PC 机、DE1 硬件平台四、 实验原理根据 8 线3 线编码器的真值表原理进行实验,下表为
2、 8 线3 线编码器的真值表输入 输出A0 A1 A2 A3 A4 A5 A6 A7 B2 B1 B01 0 0 0 0 0 0 0 0 0 00 1 0 0 0 0 0 0 0 0 10 0 1 0 0 0 0 0 0 1 00 0 0 1 0 0 0 0 0 1 10 0 0 0 1 0 0 0 1 0 00 0 0 0 0 1 0 0 1 0 10 0 0 0 0 0 1 0 1 1 00 0 0 0 0 0 0 1 1 1 1五、 实验过程library ieee;use ieee.std_logic_1164.all;entity bm8_3 isport(a:in std_logic_vector(7 downto 0);b:out std_logic_vector(2 downto 0);end bm8_3;architecture zhang of bm8_3 isbegin 浙江大学城市学院 实 验 报 告 纸process(a)begincase a iswhen“00000001“=bbbbbbbbbbbbbbbbbbbbbbbbbb=“1111“;end case;end process;end zhang;七、 心得体会对操作更加熟练,加深对其的认识