收藏 分享(赏)

基于8051ip的8位.ppt

上传人:天天快乐 文档编号:1283272 上传时间:2018-06-21 格式:PPT 页数:49 大小:2.67MB
下载 相关 举报
基于8051ip的8位.ppt_第1页
第1页 / 共49页
基于8051ip的8位.ppt_第2页
第2页 / 共49页
基于8051ip的8位.ppt_第3页
第3页 / 共49页
基于8051ip的8位.ppt_第4页
第4页 / 共49页
基于8051ip的8位.ppt_第5页
第5页 / 共49页
点击查看更多>>
资源描述

1、-基于mc8051的8位专用MCU芯片设计,第三部分 VLSI设计,主要内容,第一章 VLSI系统设计;第二章 HDL设计综合;第三章 VLSI自动布局布线;第四章 VLSI物理验证,VLSI系统设计技术mc8051IPcoreMC8051仿真基于mc8051IP的系统架构ModelSim概览实验3lab1-2,VLSI系统设计,VLSI系统设计技术,VLSI系统VLSI系统集成技术基于IP的设计方法,VLSI系统,VLSI系统以嵌入式处理器为核心。集软.硬件于一体。集系统功能在一块芯片。具有复杂控制功能的SOC。具有百万门至千万规模。可靠性高、成本低、功耗低。设计管理复杂。,VLSI系统组成

2、,CPU的类型MCU(微控制器),MPU(微处理器), DSP(数字信号处理器)。8位、16位具有多周期指令系统32位采用RISC指令系统。由可重用的具有各种功能的IP核组成。ROM种类: DROM(掩膜存储器), EPROM (可擦出), FLASH(闪存)。 按用途的不同可以分为两种类型专用MCU芯片,是专用集成电路(ASIC)向系统级集成的发展。通用MCU芯片,将绝大部分部件如MCU、DSP、RAM、IO等集成在芯片上。采用0.25um以下工艺、多层CU布线。,系统设计步骤,系统功能设计阶段;系统规划;系统架构;功能划分;系统描述和验证阶段;系统架构;功能仿真;逻辑综合阶段;将设计转化为

3、具有目标工艺的设计; 门级验证阶段;动态门级仿真;静态时序分析;版图布局和布线阶;将逻辑综合的结果Verilog进行版图自动布局布线;物理验证阶段;对版图作DRC、LVS、时序分析及后仿真;,设计的层次,设计技术,基于IP的设计方法系统集成设计技术软硬件协同设计技术,基于IP的设计方法,IP是经验证的具有知识产权的设计产品;IP设计技术:IP core设计,IP功能模块设计;IP重用技术可高效快速实现系统架构,实现SOC;IP的形式:软核,HDL描述可综合的RTL描述或通用库元件的网表形式表示的可重用模块,用户需负责其实际的实现和版图 固核,固核是指在结构和拓扑方面针对性能和面积通过版图规划,

4、甚至用某种工艺技术进行过优化的可重用模块,并以综合好的代码或通用库元件的网表形式存在; 硬核,硬核是指在性能,功率和面积上经过优化,并映射到特定工艺技术的可重用模块,并以完整的布局布线后的网表和诸如GDSII格式的固定版图形式存在。IP的互连技术不单是一种代码块或版图块而且包括重用方法;收费IP和免费IP;,系统集成设计技术,哈佛体系结构 程序存储器与数据存储器分开提供了较大的数存储器带宽。 适合于数字信号处理。设计语言定义系统用C、System C。硬件设计使用HDL。软件设计使用C/C+等。基于总线控制器的SOC互连标准技术。设计应该是面向互连。标准化的总线是提高设计重用、控制延迟、集成不

5、同公司产品的有效方法。通过总线标准化模块接口可以方便结构化。设计的任务通常是使用非标准的IP。SOC总线的层次结构应用层处理多个组件间的相互通讯包含对内存映射和控制信息的规范。交互层建立点对点传送。总线传输层在不同组件间传送数据定义通讯网络的逻辑功能。物理层处理物理连线驱动器以及和工艺相关的时序定义。 基于三总线的互连用于8位及16位系统;,软硬件协同设计技术,针对软硬件组合的电子系统设计;进行软硬件功能划分,硬件执行的功能与软件执行的功能一起完成系统功能;软件和硬件设计并行和相互反馈。子系统、模块及单元建模技术;基于平台的系统集成设计技术;采用软硬件协同仿真方法及步骤;,软硬件协同设计步骤,

6、IP CORE,8051系列:MSC-51、DW8051。OpenCores组织 : mc8051 、OR1200。ARM: ARM7、 ARM9、 ARM10。MOTO: M*CORE 210 、 M*CORE310。IBM :PowerPC 403 、 602 、MPC860。 MIPS: R4102 、 R4300、 R4650。苏州国芯:C*CORE 210、 C*CORE310。,功能模块IP,IIC总线是PHILIPS公司制定的新一代串行总线标准规范,也是目前应用广泛的一种串行外围扩展总线。只需要两条线就能传输数据,其可靠性和安全性更好,特别用于短距离间多个器件间断通信的系统中。

7、CAN总线 全称“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一。它是由ISO定义的串行通讯总线。CAN最初出现在80年代末的汽车工业里,其基本设计规范要求有高的位速率,高抗电磁干扰性,能检测出产生的任何错误。基于此,CAN总线被广泛应用于汽车,制造业以及航空工业中 UART16550通用异步收发器(Universal Asynchronous Receiver Transmitter,UART)是广泛使用的串行数据传输协议。它的功能是将并行的数据转变为串行的数据发送或者将接收的串行数据转变为并行数据。配合电平转换环节,UART可以在较长的距

8、离上实现全双工的串行通信。UART可以在输出的串行数据流中加入奇偶校验位和启停标记,并对从外部接收的数据流进行奇偶校验以及删除启停标记 以太网MAC控制器IP,它的一端与以太网连接,另一端与总线连接,可以适应各种应用环境。,功能模块IP(二),LCD控制器 是现在最为常用的显示手段,尽管VGA和LCD的内部结构和工作原理完全不同,但是两者都是由主机输出扫描控制和数据信号进行控制的。VGA使用的模拟、数字混合接口,主要信号是红、绿、蓝3个模拟信号和行同步、帧同步2个数字信号。PS/2键盘控制器,在嵌入式系统特别是工控系统中,友好的人机交互是必不可少的,而键盘起着触摸屏、鼠标、手写笔等不可替代的作

9、用。根据个别系统分别设计的矩阵键盘或编码键盘不具有通用性,所能表达信息量小且实现复杂,扫描过程占用软硬件资源较多且由于前后沿抖动等问题导致可靠性降低。标准 PS/2键盘由于接口通信协议简单,在系统中占用软硬件资源少,高可靠性,表达信息量大而得到了越来越广泛的应用。,芯片设计流程,芯片设计工具,软件开发环境Keil51 和单片机实验板。程序编译、调试、数据下载。数据转换: 十六进制转二进制功能仿真:verilog_xl modelsim等设计综合编译器: D C ,Ambit.静态时序分析:PT,Pearl.自动布局布线:SE,SOC42,Astro. 版图验证:Hercules-II, Dra

10、cula.后仿真:N a n o S i m, Hspice,实现工艺,0.35微米或0.18微米工艺SiCmos工艺1P4M-1P9M,金属铜布线支持数模混合信号电路存储器编译器生存储器成版图ROM存储器采用Prom或掩膜ROM或FLASH工艺,mc8051IPcore简介,mcu8051IPcore的特点,Oregano组织开发的免费8051IP(http:/oregano.at/ip/8051.htm);Mcu8051是一个同步系统;优化的架构,速度提升可达10倍,平均指令周期占2.5个时钟,CPU可达50MH;用户可设置,用VHDL语言写成的可综合的微控制器模块,完全遵循MCS-51标

11、准,且指令集与工业标准8051微控制器兼容;全定时/计数器及串口单元数目可选择,通过特殊功能寄存器,选择是否使用并行乘法单元实现乘法指令;可选择是否实现十进制调整指令;无多路选择I/O端口;128字节内部RAM, 64K字节ROM及64K字节RAM;GNU LGPL许可下,源代码可免费获取;独立于工艺之外,架构清晰,VHDL源代码注释清晰,易扩展, 通过VHDL中常数的定义,可实现参数化设计;,MC8051结构,MC8051设计平台,C- keil输出的MCU运行程序 DOC-说明文挡 VHD-mc8051Ipcore及IP模块 Tb - 仿真平台 Msim-仿真路径 Syn/dc-逻辑综合路

12、径 Se -版图自动布局布线路径 Layout-版图设计路径 Uvision-版本路径,MC8051VHDL,VHDL entities entity-name_.vhdVHDL architectures entity-name_rtl.vhd for modules containing logicentity-name_struc.vhd for modules just connecting submodulesVHDL configurations entity-name_rtl_cfg.vhd entity-name_struc_cfg.vhd,每个IP的实体、结构体及配置分别用三

13、个文件描述,MC8051的层次,MC8051VHDL的描述,顶层结构mc8051_top_.vhd;mc8051_core_.vhdmc8051_ram_.vhdmc8051_ramx_.vhdmc8051_rom_.vhd存储器放在Tb,只用于仿真包mc8051_p.vhd 描述了指令系统 例化了顶层下的模块,mc8051_core,连接了mc8051_control and mc8051_alu modules.连接了mc8051_tmrctr和mc8051_siu units.,and mc8051_alu,Alu连接了运算单元:Alumux运算器数据通路选择器;Alucore运行中的逻

14、辑操作;addsub_core加减器;comb_mltplr作乘法运算时,数据宽度选择;comb_divider作除法运算时,数据宽度选择;dcml_adjust数据调整;,mc8051_control_.vhd,mc8051_control由control_mem和control_fsm构成mc8051_control定义了读写寄存器及中断,定义了地址;control_fsm定义指令译码器及执行指令过程;,control_mem,control_fsm,Mc8051功能IP模块,串口mc8051_siu_.vhd,定时器mc8051_tmrctr_.vhd,MC8051配置,同步单时钟存储器

15、接口无寄存器Timer/Counter, Serial Interface, and Interrupts可配置,- Select how many timer/counter units should be implemented - Default: 1constant C_IMPL_N_TMR : integer := 1;- Select how many serial interface units should be implemented- Default: C_IMPL_N_TMR -(DO NOT CHANGE!)-constant C_IMPL_N_SIU : intege

16、r := C_IMPL_N_TMR;- Select how many external interrupt-inputs should be implemented- Default: C_IMPL_N_TMR -(DO NOT CHANGE!)-constant C_IMPL_N_EXT : integer := C_IMPL_N_TMR;-,MC8051仿真平台,在设计平台的TB具备顶层及各模块的testbenchtb_mc8051_top实体指定了时钟周期 generic (one_period : Time := 100 ns);tb_mc8051_top结构体定义了仿真平台仿真平台

17、结构初始化状态定义了系统时钟在mc8051_rom实体类属参数指定了运行程序二进制文件名; entity mc8051_rom is generic (c_init_file : string := mc8051_rom.dua);,MC8051初始化仿真,MC8051仿真验证系统功能;仿真覆盖率:被验证的硬件/全部硬件;采用软硬件协同仿真方法;运行软件数据需要转化为二进制数存储在ROM;仿真工具:,ROM数据转换(1),Keil51输出程序的 进制ex文件将ex文件编译成进制文件在下执行hex2dual.exe命令语法Hex2dual.exe 文件名.Hex,LCMCS2_C0MEQU400

18、4HLCMCS2_DATEQU4005HLCMCS1_COMEQU4000HLCMCS1_DATEQU4001H ORG8000HAJMPMAINORG 8100H,0000000110000000011101011000000100111100001000001011001100001111000100,:0280000021005D:1081000075813C20B30F313530B3FD3127C290C2A9:1081100091C292517020B4EB313530B4FD3127D289:1081200090D291D292313E7D40B2933130DDFA222D:1

19、08130007FFFDFFE227F907E80DEFEDFFA22743F2B:1081400071A271C8744071A274B871A2780090874E:10815000F3E89371B508B840F8744071A274B9712E:10816000A2E89371B508B880F8744071C874B8710A,ROM数据转换(2),在ROM的hdl文件指定进制文件,entity mc8051_rom isgeneric (c_init_file : string := mc8051_rom.dua); port (clk : in std_logic; - clo

20、ck signal reset : in std_logic; - reset signa rom_data_o : out std_logic_vector(7 downto 0); - data output rom_adr_i : in std_logic_vector(15 downto 0); - adressesend mc8051_rom;.,0000000110000000011101011000000100111100001000001011001100001111000100,:0280000021005D:1081000075813C20B30F313530B3FD312

21、7C290C2A9:1081100091C292517020B4EB313530B4FD3127D289:1081200090D291D292313E7D40B2933130DDFA222D:108130007FFFDFFE227F907E80DEFEDFFA22743F2B:1081400071A271C8744071A274B871A2780090874E:10815000F3E89371B508B840F8744071A274B9712E:10816000A2E89371B508B880F8744071C874B8710A,MC8051仿真结果,基于mc8051IP系统架构,RFID专用

22、8位MCU设计,RFID读卡器方案读卡电路MF RC500 支持MIFARE双向接口卡芯片及ISO14443A1协议;操作距离达10厘米; 内部带有加密单元; 与微控制器通过接口连接,同时具有内部地址锁存器及中断引线; 灵活的中断处理机制; 能自动监测并行接口连接的微控制器类型;具有64字节的先进先出缓存; 可编程定时器; 具有独一无二的编号; 支持以字节或位为单位的帧传输;RFID读卡器应用 MF RC500 适用于各种基于ISO/IEC 14443A 标准并且要求低成本、小尺寸、高性能以及单电源的非接触式通信的应用场合。 公共交通终端;手持终端; 非接触式PC 终端;非接触式公用电话,计量

23、等.,RFID专用8位MCU特点,基于mcu 8051微控制器软核的系统架构设计.以oregano组织提供的标准8051软核为基础,对其加以优化、完善(低功耗控制,I/O口优化).集成了IIC,CAN两种总线控制器软核,形成一个简单的片上系统SOCSCUME002芯片;对SCUME002芯片各功能单元做功能仿真,仿真一应用程序,验证芯片功能。SMIC(中芯国际)0.18 1P6M工艺,RFID读卡器初始化软件设计,实现功能:在keil51完成LCM点阵图形液晶显示,在液晶屏上实现“四川大学微电子RFID设备追踪系统”和“四川大学微电子RFID专用MCU芯片”的分页显示。如果按下KEY1则显示前

24、一页,同时点亮发光管LED1LED3;按下KEY2则显示后一页,同时熄灭LED1LED3,蜂鸣器发出提示声响。编写LCD显示程序,经编译下载至单片机实验板上的存储器。运行程序。,RFID专用8位MCU架构,性能参数mc8051IP核, CPU 最大速度50MH.VDD 3.3v 最大功耗 10毫瓦.ROM 4KB ,片外ROM 64KB,RAM 128B,片外64KB.定时器2个,外部中断2个. 双向I/O口4个,P1-P4(包括多功能口),异步串行通信口2个. 异步串行通信口2个.,IIC接口,CAN接口. smic0181P4M工艺,面积1.5平方毫米.,低功耗控制优化设计,空闲方式下,C

25、PU不工作,但中断,串口和定时/计数器等因时钟发生器正常工作而处于待命状态,片内RAM和SFR中内容维持不变,ALE和PSEN为高电平;掉电方式下,时钟发生器停止工作,中断,串口和定时/计数器等模块不工作,ALE和PSEN输出为逻辑低电平;同时,片内RAM和特殊功能寄存器SFR因改由干电池供电,故其内容可得到保持。引出特殊功能寄存器PCON的第0,1位,分别用于控制软核的空闲和掉电两种低功耗工作方式。微控制器正常工作时消耗11mA20mA电流,空闲状态时为1.7mA5mA电流,掉电方式为0.005mA0.05mA如下图:,I/O口优化,在软核代码的顶层文件mc8051_top_struc.vh

26、d中,对相应信号的映射(map)做修改,完善其第二功能;定义双向并口p0p3,代替原来的单向并行口。,IIC总线控制器设计,由于8051微控制器软核并不基于WISHBONE片上总线,首先对照wishbone规范,确定IIC软核各端口信号的意义,并据此确定如何使用8051微控制器软核的端口引线(或其组合)来集成IIC总线控制器软核。具体如下(部分信号连接省略):wb_adr _i(2:0):在IIC软核中,wb_adr _i(2:0)被定义为unsigned类型,所以,集成时,首先需将P1(2:0)由std_logic类型强制转换为unsigned之后,再连接wb_adr _i。 wb_dat_

27、i(7:0)和wb_dat_o(7:0):直接与8051核的P0口相连。 3,wb_cyc_i和wb_stb_i:二者与P1.3,P1.4译码输出端相连。 4,scl和sda:根据惯例,scl和sda一般由P1端口的第6,7位分别复用。所以,将scl和sda分别连至8051微控制器软核的P1.6和P1.7。,CAN总线控制器设计,CAN,全称“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一。CAN有两种形式:具有11位ID标志符的BasicCAN和29位ID标志符的高级形式PeliCAN。 CAN的信号使用差分电压传送,其两条信号线分别为“C

28、AN_H”和“CAN_L”。CAN中的总线数值为两种互补逻辑数值之一:“显性”或“隐性”,其中“显性(Donimant)”表示逻辑0,“隐性”(Recessive)表示逻辑1。差分电压传输方式,使其能够有更好的噪声抑制和容错性能。CAN软核遵循CAN 2.0所有规范集成方法:由于8051软核没有ALE端口,而此处需要,故首先将PCON中未定义的一位引出,用于模拟ALE。 rx_i和tx_o:根据惯例,一般用P1.0 和P1.1来复用CAN的数据输入和输出端。 故将rx_i和tx_o分别连至P1.0和P1.1。其它端口连接略。,显示控制器接口,CGM12864液晶显示模块 8051接口 P1数据

29、,P3控制,初始化显示程序设计,LCMCS2_C0MEQU4004HLCMCS2_DATEQU4005HLCMCS1_COMEQU4000HLCMCS1_DATEQU4001HORG8000HAJMPMAINORG8100HMAIN: MOVSP,#60TEST_KEY:JBP3.3,NEXT_KEY;检测键盘KEY1是否按下ACALLDELAY5MS;调延时子程序出抖动JNB P3.3,$;检测按键KEY1是否放开ACALLMUSICCLR P1.0CLR P1.1CLR P1.2ACALL MAIN;本此按键有效,作出相应处理,:100020000000000201A875AA0075BA

30、00759D007550:100030008170758922758CF0758AF075B80075A885:10004000827588107456F5207556AAF9E78721271E:10005000F522979410999520F52314342324FF3723:1000600029352225233904F524E4F52508882605B9:10007000560787271C8C28152317901234A3E520D8:1000800089F0A4F52985F02A05F084F52B85F02C5C:100090002456D4F52D5954FEF52E4

31、957F52F4230EC:1000A0007531AA523155314445F53253E0FFF533ED:1000B000452047F5344334FF6C6521F535676434DA:1000C000F536D2D47D966315E86215C2D4F423D3F5:1000D00013C33323C4F537A7158738778887397555:1000E0008170C020D03ACDC53BC7F53BD7F53CB3B6:1000F0009220B220823172324003753DFFB045A09C,0111010110111010000000000111010110011101000000000111010110000001,数据转换,RFID_MCU功能仿真 (一),定时/计数器功能仿真,中断功能仿真,串口单元功能仿真,8051软核空闲方式仿真,RFID_MCU功能仿真 (二),IIC总线控制器软核功能仿真,CAN总线控制器软核功能仿真,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 学术论文 > 社科论文

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报