EDA课程设计JK 触发器程序清单:library ieee;use ieee.std_logic_1164.all;entity jkff_b isport(j,k,clk:in std_logic;q,nq:out std_logic);end jkff_b;architecture exx of jkff_b issignal qq:std_logic;signal s:std_logic_vector(1 downto 0);beginprocess(clk)beginsqqqqqqqq= not qq;end case;end if;end process;q=qq;nq=not qq;end exx;仿真波形: