收藏 分享(赏)

基于VHDL的数字时钟论文new.doc

上传人:dzzj200808 文档编号:2257445 上传时间:2018-09-07 格式:DOC 页数:18 大小:210KB
下载 相关 举报
基于VHDL的数字时钟论文new.doc_第1页
第1页 / 共18页
基于VHDL的数字时钟论文new.doc_第2页
第2页 / 共18页
基于VHDL的数字时钟论文new.doc_第3页
第3页 / 共18页
基于VHDL的数字时钟论文new.doc_第4页
第4页 / 共18页
基于VHDL的数字时钟论文new.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

1、摘要随着基于 CPLD 的 EDA 技术的发展和应用领域的扩大与深入,EDA 技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对 EDA 有个全面的认识。本程序设计的是基于 VHDL 的数字时钟。采用 EDA 作为开发工具,VHDL 语言为硬件描述语言,QUARTUS II 作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言 VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是 C 语言的亲近。ABSTRACTWith the EDA-

2、based CPLD development and application of technology to expand the field of depth, EDA technology in electronic information, communications, automatic control with the importance of computer and other fields have become increasingly prominent As a professional electronics and information science stu

3、dents, we must continue to learn more about the new product information, which requires us to more EDA has a comprehensive understanding of。The program design is a simple flower design。The use of EDA as a development tool, VHDL language for hardware description language, MAX + PLUS II program runs a

4、s a platform for the development of procedures by running through the debugging, waveform simulation, the initial realization of the design goals。This procedure used in hardware description language VHDL, the hardware can significantly reduce the number of entry-level system design, give people the

5、impression that a close relative of C language. 目 录第一章概论 - 1 -1.1 课程背景: - 1 -1.2 课程目标 - 2 -第二章电子钟的设计要求和工作原理 - 2 -2.1 设计要求 - 2 -2.2 系统设计方案概述及工作原理 - 2 -2.3 数字电子钟设计源程序 - 2 -第三章开 发工具简介 - 3 -3. 1EDA 技术 - 3 -3. 2 硬件描述语言 VHDL .- 3 -3. 3 VHDL 的设计流程 - 4 -第四章时钟设计模块 - 6 -4.1 时钟分频模块 - 6 -4.2 计时模块 .- 6 -4.3 显示模块

6、 - 6 -4.3.1 计时位选择电路 - 9 -4.3.2 七段显示译码电路 .- 11 -第五章 波形仿真 - 12 -第六章 本次课程设计的心得体会 - 14 -致谢 .- 15 -参考文献 .- 16 -引言数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.

7、且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.第一章概论 1 .1课程背景 FPGA 系统设计初级班培训课程主要帮助学员尽快掌握 CPLD/FPGA 的开发流程和设计方法,以工程实践为例,循序渐进的学习 FPGA 的集成开发环境,开发流程以及硬件电路设计等知识。每次课程都配有相关实战训练,每个实战训练题目都可以在红色飓风 II 代的 FPGA 硬件平台上进行下载验证。通过实战,学员可以更好的理解消化课堂知识,工程实践水平会得到迅速提高。 1.2 课程目标 迅速掌握和使用 CPLD/FPGA 数字系统开发工具、开发流程,能够独立进行

8、初步的 FPGA 系统设计。经过培训,学员可以掌握 HDL 语言的初步开发能力,并且解决 FPGA 产品开发过程中的常见问题,掌握基于 FPGA 的嵌入式系统(NIOSII)的设计和调试方法。 第 2 章开发工具简介3. 1EDA 技术EDA 是电子设计自动化(Electronic Design Automation)缩写,是 90年代初从 CAD(计算机辅助设计) 、CAM(计算机辅助制造) 、CAT(计算机辅助测试)和 CAE(计算机辅助工程)的概念发展而来的。EDA 技术是以计算机为工具,根据硬件描述语言 HDL( Hardware Description language)完成的设计文

9、件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的 EDA 工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在 EDA 平台上完成的针对某个系统项目的 HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件 FPGA/CPLD 相映射

10、的网表文件。适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如 JED 文件。适配所选定的目标器件(FPGA/CPLD 芯片)必须属于在综合器中已指定的目标器件系列。硬件描述语言 HDL 是相对于一般的计算机软件语言,如:C、PASCAL 而言的。HDL 语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用 HDL 程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制 FPGA 和 CPLD 内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目

11、前,就 FPGA/CPLD 开发来说,比较常用和流行的HDL 主要有 ABEL-HDL、AHDL 和 VHDL。3. 2 硬件描述语言VHDLVHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于 1982 年。1987 年底,VHDL 被 IEEE 和美国国防部确认为标准硬件描述语言 。自 IEEE 公布了 VHDL 的标准版本,IEEE-1076(简称 87 版)之后,各 EDA 公司相继推出了自己的 VHDL 设计环境,或宣布自己的设计工具可以和 VHDL 接口。此后 VHDL 在电

12、子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993 年,IEEE 对 VHDL 进行了修订,从更高的抽象层次和系统描述能力上扩展 VHDL 的内容,公布了新版本的 VHDL,即 IEEE 标准的 1076-1993 版本, (简称 93 版) 。现在,VHDL 和Verilog 作为 IEEE 的工业标准硬件描述语言,又得到众多 EDA 公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL 于 Verilog 语言将承担起大部分的数字系统设计任务。VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征

13、的语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点。应用 VHDL 进行工程设计的优点是多方面的。(1) 与其他的硬件描述语言相比,VHDL 具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描

14、述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL 语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自动的把 VHDL 描述设计转变成门级网表。(4) VHDL 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必

15、管理最终设计实现的目标器件是什么,而进行独立的设计。3. 3 VHDL 的设计流程(1) 设计输入根据电路设计所提出的要求,将程序输入到 VHDL 编辑器中去编辑。(2) 功能级模拟用 VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。(3) 逻辑综合与优化 将通过功能模拟的程序放到 VHDL 编译器中,进行逻辑综合与优化。(4) 门级模拟对电路用 VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。(5) 版图生成 用相应的软件处理后,就可以拿去制版。第三章 数字电

16、子钟的工作原理2.1 系统设计方案顶层原理图2.2 秒和分钟计数器-功 能:60 进制计数器-接 口:clk -时钟输入- qout1-个位 BCD 输出- qout2-十位 BCD 输出- carry-进位信号输出-library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fen60 is port(clk : in std_logic;rst : in std_logic;qout1 : out std_logic_vector(3

17、 downto 0);qout2 : out std_logic_vector(3 downto 0);carry : out std_logic);end fen60;architecture behave of fen60 issignal tem1:std_logic_vector(3 downto 0);signal tem2:std_logic_vector(3 downto 0);beginprocess(clk,rst)beginif(rst=0)thentem1qoutqoutqoutqoutqoutqoutqoutqoutqoutqoutqoutqoutqout=1;end

18、case;end if;end process;end behave;第四章 数字时钟仿真60 进制秒计数器和分钟计数器。小时计数器仿真六个数码管动态扫描显示时间的仿真验证。例如设置时间为 14:28:59,通过仿真可以验证 qout 输出是正确的。第五章 本次课程设计的心得体会经过努力,简易电子时钟的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:在本次课程设计的过程中,我也发现很多的问题,给我的感觉就是很难,很不顺手,看似很简单的电路,要动手把它给设计出来,是很难的一件事,主要原因是我们没有经常动手设计过电路,还有资料的查找也是一大难题,这就要求我们在以后的

19、学习中,应该注意到这一点,更重要的是我们要学会把从书本中学到的知识和实际的电路联系起来,这不论是对我们以后的就业还是学习,都会起到很大的促进和帮助,我相信,通过这次的课程设计,在下一阶段的学习中我会更加努力,力争把这门课学好,学精。同时,通过本次课程设计,巩固了我们学习过的专业知识,通过这个程序设计,使我对数字系统结构也有了更进一步的了解和认识,同时对数据库软件EDA 技术、VHDL、等系列知识都有了一定的了解。使用 EDA 技术开发页面的能力也有了很大提高,也使我们把理论与实践从真正意义上相结合了起来;考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力;也使我在口述和语言表达方面得到了锻炼。从中可以自我测验,认识到自己哪方面有欠缺、不足,以便于在日后的学习中得以改进、提高。经过本次设计使我对大学四年期间所学习到的知识得以进一步的实践,这将对我走出校园走上工作岗位奠定坚实的基础。参考文献1数字电路试验基础 同济大学出版社 崔葛瑾主编。2数字电路试验一体化教程 清华大学出版社 候建军主编。3电子学入门科学出版社, 【日】新电器编辑部。4数字电路典型试验范例剖析人名邮电出版社,王泽民 赵博主编。5数字逻辑设计第四版 人民邮电出版社 美Brian Holdsworth C live Wood6数字电子技术基础高等教育出版社 阎石主编。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 大学课件

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报