1、数字通信原理课程设计报告书课题名称 姓 名学 号院、系部专 业指导教师2010 年 1 月 15 日 2007 级学生数字通信原理课程设计 一、 设计任务及要求:设计任务:通过本课程设计的开展,设计一个 2CPSK 的通信系统,运用 EDA 技术进行基于系统的程序设计和仿真。设计要求:1.根据设计任务,建立相应的工程模型,实现信号的调制与解调。2.要求能够熟练地用 EDA 技术进行数字基带信号的 2CPSK 的程序设计和仿真。指导教师签名: 2010 年 1 月 15 日 二、指导教师评语:指导教师签名: 2010 年 1 月 15 日 三、成绩验收盖章 2010 年 1 月 15 日 12C
2、PSK 调制与解调系统的0712401-07 宁晓明(湖南城市学院物理与电信工程系通信工程专业,益阳,413000)1、设计目的通过本课程设计的开展,使学生能够掌握通信原理中数字信号中各种调制的方法并用 EDA 技术进行数字基带信号的 2CPSK 的程序设计。2、设计要求(1)根据设计任务,建立相应的工程模型,实现信号的调制与解调。(2)要求能够熟练地用 EDA 技术进行数字基带信号的 2CPSK 的程序设计和仿真。3、设计原理所谓绝对调相即 CPSK,是利用载波的不同相位去直接传送数字信息的一种方式。对二进制 2CPSK,若用相位 代表“0”码,相位 0 代表“1”码,即规定数字基带信号为“
3、 0”码时,已调信号相对于载波的相位为 ;数字基带信号为“1”码时,已调信号相对于载波相位为同相。按此规定,2CPS K 信号的数学表示式为(式 3.1) ”码为 “码为 0)2cos( 102 tfAuccpsk式中 为载波的初相位。受控载波在 0、 两个相位上变化。2CPSK 调制有直接调相法和相位选择法两种方法。3.1 直接调相法直接调相法的电路采用一个环形调制器。在 2CPSK 调制中,当基带信号为正时,输出载波与输入同相,当基带信号为负时,输出载波与输入载波反相,从而实现了 2CPSK 调制。3.2 相位选择法相位选择法电路如图 3.2.1 所示,设振荡器产生的载波信号为错误!未找到
4、引2用源。 ,它加到与门 1,同时该振荡信号经倒相器变为 ,加到与)2cos(tfAc门 2,基带信号和它的倒相信号分别作为与门 1 及与门 2 的选通信号。基带信号为 1 码时,与门 1 选通,输出为 ;基带信号为 “0”码时,与门)2cos(tfAc2 选通,输出为 ,即可得到 2CPSK 信号。)2cos(tfAc图 3.2.1 相位选择法电路图4、CPSK 调制与解调方框图及电路符号CPSK 调制方框图如图 4.1 所示,CPSK 调制电路符号如图 4.2 所示。说明:电路符号图中没有包含模拟电路部分,输出信号为数字信号。FPGACLKSTART基带信号计数器0 相载波 相载波二选一开
5、关 调制信号图 4.1 2CPSK 调制方框图3图 4.2 2CPSK 调制电路符号图CPSK 解调方框图如图 4.3 所示, CPSK 解调电路符号如图 4.4 所示。说明:电路符号图中没有包含模拟电路部分,调制信号为数字信号形式。FPGAclkstart调制信号计数器 q判决 基带信号图 4.3 2CPSK 解调方框图注:在计数器 q=0 时,根据调制信号此时的电平的高低,来进行判决图 4.4 2CPSK 解调电路符号图5、程序设计5.1 2CPSK 调制 VHDL 程序4library ieee;use ieee.std_logic_arith.all;use ieee.std_logi
6、c_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK isport(clk :in std_logic; -系统时钟start :in std_logic; -开始调制信号x :in std_logic; -基带信号y :out std_logic); -已调制输出信号end PL_CPSK;architecture behav of PL_CPSK issignal q:std_logic_vector(1 downto 0); -2 位计数器signal f1,f2:std_logic; -载波信号beginprocess(cl
7、k) -此进程主要是产生两重载波信号 f1,f2beginif clkevent and clk=1 then if start=0 then q=“00“;elsif q=“01“ then f1=1;f2=0;q=q+1;elsif q=“11“ then f1=0;f2=1;q=“00“;else f1=0;f2=1;q=q+1;end if;end if;end process;process(clk,x) -此进程完成对基带信号 x 的调制 beginif clkevent and clk=1 then if q(0)=1 thenif x=1 then y=f1; -基带信号 x
8、为1时,输出信号 y5为 f1 else y=f2; -基带信号 x 为0时,输出信号 y 为f2end if;end if;end if;end process;end behav;5.2 2CPSK 解调 VHDL 程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_CPSK2 isport(clk :in std_logic; -系统时钟start :in std_logic; -同步信号x :in std_log
9、ic; -调制信号y :out std_logic); -基带信号end PL_CPSK2;architecture behav of PL_CPSK2 issignal q:integer range 0 to 3; beginprocess(clk) -此进程完成对 CPSK 调制信号的解调beginif clkevent and clk=1 then if start=0 then q=0;elsif q=0 then q=q+1; -在 q=0 时,根据输入信号 x 的电平来进行判决6if x=1 then y=1; else y=0;end if;elsif q=3 then q=0
10、;else q=q+1;end if;end if;end process;end behav;6.仿真图形分析6.1 2CPSK 调制仿真图及注释图 6.1.1 2CPSK 调制 VHDL 程序仿真全图7图 6.1.2 2CPSK 调制 VHDL 程序仿真全局部放大图注:a.载波信号 f1、f2 是通过系统时钟 clk 分频得到的,且滞后系统时钟一个clk。 b.调制输出信号 y 滞后载波一个 clk;滞后系统时钟两个 clk。6.2 2CPSK 仿真图及注释图 6.2.1 2CPSK 解调 VHDL 程序仿真全图图 6.2.2 2CPSK 解调 VHDL 程序仿真局部放大图注:a.当 q=
11、0 时,根据 x 的电平来进行对判决。b.输出信号 y 滞后输入信号 x 一个 clk。86.3 仿真图形分析上述图形中,clk 为时钟信号,start 为使能信号,f1,f2 分别为载波信号,x为输入信号,y 为输出信号。调制之前,设置数字序列为:1011001000101 经2PSK 调制与解调后,数字序列保持不变,达到了设计的要求,实现了数字信号可靠的频带传输。7、总结与心得体会课程设计不仅是对前面所学知识的一种检验,而且也是对自己能力的一种提高。通过这次课程设计使我明白了自己原来知识还比较欠缺。自己要学习的东西还太多,以前老是觉得自己什么东西都会,什么东西都懂,有点眼高手低。通过这次课
12、程设计,我才明白学习是一个长期积累的过程,在以后的工作、生活中都应该不断的学习,努力提高自己知识和综合素质。通过此次课程设计,使我对各种 MPSK 的相关知识有了一定的了解,加深了我对通信原理中的 MPSK的作用的理解。通过此次的亲自探究,让我发现了自己的很多的不足,意识到了实践的重要性。总之,对我来说,这是一次受益匪浅的过程。同时也希望老师以后能够多开展一些相关的活动,如电子竞技大赛等,还有就是完善实验室的设备,让同学们有一个良好的实验环境,相信这将对于我们的发展有着重大的作用。参考文献1樊昌信,曹丽娜.通信原理M.北京:国防工业出版社,2009:87-105.2曹志刚,钱亚生.现代通信原理M.北京:清华大学出版社,1994:34-53.3王兴亮,寇宝明.数字通信原理 M.西安:西安电子科技大学出版社,2009:32-41.4周炯槃.通信原理M.北京:北京邮电大学出版社,2008:35-87.5崔良海,徐洁.数据通信技术M.北京:北京大学出版社,2009:53-74.