收藏 分享(赏)

EDA交通信号灯控制器.doc

上传人:yjrm16270 文档编号:6810659 上传时间:2019-04-23 格式:DOC 页数:15 大小:462KB
下载 相关 举报
EDA交通信号灯控制器.doc_第1页
第1页 / 共15页
EDA交通信号灯控制器.doc_第2页
第2页 / 共15页
EDA交通信号灯控制器.doc_第3页
第3页 / 共15页
EDA交通信号灯控制器.doc_第4页
第4页 / 共15页
EDA交通信号灯控制器.doc_第5页
第5页 / 共15页
点击查看更多>>
资源描述

1、课 程 设 计课程设计名称: EDA 课程设计 专 业 班 级 : XXXXX 学 生 姓 名 : XXXXX 学 号 XXXX 指 导 教 师 : XXXXX 课程设计时间: 2011-12-192011-12-30 23电子信息工程技术 专业课程设计任务书学生姓名 XXX 专业班级 XXXX 学号 XXXX题 目 交通信号灯控制器课题性质 工程设计 课题来源 自拟课题指导教师 XXXXX 同组姓名主要内容1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。2、

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。任务要求 1 根据设计题目要求进行方案设计并编写相应程序代码2 对编写的 VHDL 程序代码进行编译和仿真3 总结设计内容,完成课程设计说明书 审查意见指导教师签

3、字:XXXXX教研室主任签字:XXXXX 说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页41 设计任务及要求设计一个主干道和支干道十字路口的交通灯控制电路,要求如下:一般情况下,保持主干道畅通,主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间为 45s。主干道无车,支干道有车,则主干道红灯亮、支干道绿灯亮,但支干道绿灯亮的时间为 25s。每次主干道或支干道绿灯变红灯时,黄灯先亮 5s。设计要求:1.有 MR(主红) 、MY(主黄) 、MG(主绿) 、CR(干红)、CY(干黄) 、CG(干绿)六盏交通灯需要控制;2.交通灯由绿转红有 5 秒黄灯亮的间隔时间,

4、由红转绿没有间隔时间;3.系统有 MRCY、MRCG、MYCR、MGCR 四个状态;MGCR MYCR MRCG MRCY主干道交通灯 绿(45 秒) 黄( 5 秒) 红(25 秒) 红( 5 秒)支干道交通灯 红 红 绿 黄4.干间公路右侧各埋有一个传感器,当有车辆通过干间公路时,发出请求信号 S=1,其余时间 S=0;5.平时系统停留在 MGCR(主干道通行)状态,一旦 S 信号有效,经MYCR(黄灯状态)转入 MRCG(支干道通行)状态,但要保证 MGCR 的状态不得短于 45s;6.一旦 S 信号无效,系统脱离 MRCG 状态。随即经 MRCY(黄灯状态)进入MGCR 状态,即使 S

5、信号一直有效,MRCG 状态也不得长于 25 秒钟。2 设计原理及总体框图5发光二极管控制器分频电路 分位电路计数器七段数码管译码电路倒计时数字显示ResetdClkd计数值Con1dCond主控电路是一个单进程 Moore 型有限状态机,通过接收定时器发送的“时间到”信号以及根据 s(用来指示支干道是否有车来, 1表示支干道没有车来, 0 支干道有车来)的值进行状态的切换,实现对十字路口东西、南北两个方向的红、黄、绿灯状态的控制。具体控制过程为:当 s=1时,支干道没有车来,主干道处于常允许通行的状态,此时主干道亮绿灯,支干道亮红灯;当 s=0时,支干道有车来,即主、支干道均有车,两者交替允

6、许通行,主干道每次放行 45 秒,支干道每次放行 25 秒,且在每次由绿灯亮到红灯亮的转换过程中,要亮 5 秒黄灯作为过渡。由于主、支干道有 45 秒和 25 秒得放行时间,以及每次由绿灯亮到红灯亮的转换过程中,要亮 5 秒黄灯作为过渡,所以设计 45 秒、25 秒和 5 秒计时电路,均采用倒计时。考虑到显示的方便,每个计时电路又由计数器和码型转换电路组成。码型转换电路是把对应的二进制码直接转换成数码管显示时的码字,采用数码管的静态显示,这样可简化模块设计。6VCCCLK INPUTVCCRST INPUTVCCs INPUTledmc50OUTPUTy_south60OUTPUTy_east

7、60OUTPUTgH_east60OUTPUTgL_east60OUTPUTgH_south60OUTPUTgL_south60OUTPUTCLKRSTss45s25s545s525c45c25c545c525ledsn50ctrlinst1CLKRSTENH60L60s45cnt45dinstCLKRSTENcq60s5cnt5dinst2CLKRSTENcq60s5cnt5dinst3CLKRSTENH60L60s25cnt25dinst43 程序设计(1)分频器的设计LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY FreDevider IS

8、PORT(Clkin:IN Std_Logic;Clkout:OUT Std_Logic);END;ARCHITECTURE Devider OF FreDevider IS7CONSTANT N:Integer:=499;signal counter:Integer range 0 to N;signal Clk:Std_Logic;BEGIN PROCESS(Clkin)begin IF rising_edge(Clkin)THENIF Counter=N then counter=40 THEN NumA=30 THEN NumA=20 THEN NumA=10 THEN NumAseg

9、outsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutnull;END CASE;END PROCESS;END;LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY dtsm ISPORT(clk:in STD_LOGIC;NumA,NumB,NumC,NumD: in STD_LOGIC_VECTOR(3 downto 0);segout1:out STD_LOGIC_VECTOR(6 downto 0);led_sel:

10、 out STD_LOGIC_VECTOR(3 downto 0);END dtsm;architecture bhv of dtsm iscomponent bcd_data is port (bcd_data:in STD_LOGIC_VECTOR(3 downto 0);segout:out STD_LOGIC_VECTOR(6 downto 0);end component;signal x:STD_LOGIC_VECTOR(3 downto 0);signal q:STD_LOGIC_VECTOR(1 downto 0);begin13p1:process(clk)beginif c

11、lkevent and clk =1 thenQled_selled_selled_selled_selnull;end case;end process;u1:bcd_data PORT map(bcd_data=x,segout=segout1);end 4 编译及仿真对文件保存并进行编译仿真,仿真波形如下:(1)当没有完成模 45 计数,即使 S=1,状态也不发生改变(2)45 秒过后,若 S=1 主道进入黄灯状态并保持 4 秒14(3)25 秒过后,不论 S=1 或 0 乡道进入黄灯状态并保持 4 秒(4)当 S 一旦为 0,乡道立刻进入黄灯状态,并持续四秒5 硬件调试与结果分析RST

12、 信号有效时不计数,主干道在前 45s,无论 s 是否有信号,都不改变状态,到达 45s 且 s 有信号,状态改变,主干道黄灯支干道红灯 5s 后转为主干道红灯支干道绿灯。再经 25s 状态改变,主干道红灯支干道黄灯 5s 后转为主干道绿灯乡村道红灯,继续等待。6 参考文献在“课程设计报告”的最后应附上所参考的相关文献, 参考文献格式如下:(1书籍 2 文章例)1 边肇祺.模式识别(第二版).北京:清华大学出版社,1988,2535152 李永忠.几种小波变换的图像处理技术.西北民族学院学报(自然科学版) ,2001.6,22(3) ,1518 心得体会经过两周的努力,我终于完成关于交通灯控制电路的课程设计,通过两周不断的查资料让我积累了许多实际操作经验,我已熟悉了 Quartus软件的使用方法,而且学习了 VHDL 基本逻辑电路和状态机电路的综合设计应用。EDA 设计我感觉程序调试最重要,试验软件、硬件熟悉其次。在编完各模块程序之后,编译查错最初有十几个错误,有输入错误、语法错误。必须注意工程名和实体名一致,不然一般会出错。在无误后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 企业管理 > 管理学资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报