收藏 分享(赏)

浙江农林大学EDA技术实验报告4.doc

上传人:dreamzhangning 文档编号:2320477 上传时间:2018-09-10 格式:DOC 页数:8 大小:281.50KB
下载 相关 举报
浙江农林大学EDA技术实验报告4.doc_第1页
第1页 / 共8页
浙江农林大学EDA技术实验报告4.doc_第2页
第2页 / 共8页
浙江农林大学EDA技术实验报告4.doc_第3页
第3页 / 共8页
浙江农林大学EDA技术实验报告4.doc_第4页
第4页 / 共8页
浙江农林大学EDA技术实验报告4.doc_第5页
第5页 / 共8页
点击查看更多>>
资源描述

1、第 1 页 共 8 页 2018/9/10浙江农林大学EDA 技术实验报告4实验名称 7 段数码管显示译码器设计 实验人员 指导老师 信 息 工 程 学 院2011 年 3 月 21 日第 2 页 共 8 页 2018/9/106-2 7 段数码管显示译码器设计6-1.1 实验目的:学习 7 段数码显示译码器设计;学习 VHDL 的 CASE 语句应用及多层次设计方法。6-1.2 实验原理:7 段数码管是存组合电路,通常的小规模专用 IC,如 74 或 4000系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为满足十六进制的译码显

2、示,最方便的方法就是利用译码程序在 FPGA/CPLD中来实现。例 6-18 最为 7 段译码,输出信号 LED7S 的 7 位分别接图 7-17 数码管的 7 个段,高位在左,低位在右。例如当 LED7S 输出为“1101101”时,数码管的 7 个段 g、f、e 、d、c、b、a 分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5” 。6-1.3 实验内容 1:说明例 6-18 中各个语句的含义,以及该例子的整体功能。实验流程具体包括实验程序输入、编译、综合、适配、仿真、实验板上的硬件测试。1、7 段数码管显示译码器实验程序library ieee;use ieee.

3、std_logic_1164.all;entity WANG_WANG isport (a: in std_logic_vector(3 downto 0);第 3 页 共 8 页 2018/9/10led:out std_logic_vector(6 downto 0);end;architecture one of WANG_WANG isbeginprocess(a)begincase a iswhen “0000“ = led led led led led led led led led led led led led led led led null;end case ;end p

4、rocess ;end;第 4 页 共 8 页 2018/9/102、7 段数码管显示译码器实验仿真图第 5 页 共 8 页 2018/9/106-1.4 实验内容 2:引脚锁定及硬件测试。6-1.5 实验内容 3:用第四章介绍的例化语句,按图 6-19(计数器和译码器连接电路的顶层文件原理图)的方式连接成顶层设计电路。实验流程具体包括实验程序输入、编译、综合、适配、仿真、实验板上的硬件测试。1、7 段数码管显示译码器实验程序(1)4 位二进制加法计数器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.

5、all;entity cnt10 isport(clk,rst,en:in std_logic;cq:out std_logic_vector(3 downto 0);第 6 页 共 8 页 2018/9/10cout:out std_logic);end cnt10;architecture behav of cnt10 isbeginprocess(clk,rst,en)variable cqi:std_logic_vector(3 downto 0);beginif rst=1 then cqi:=(others=0);elsif clkevent and clk=1 thenif en

6、=1 thenif cqi0);end if;end if;end if;if cqi=15 then coutclock0,rst=rst0,en=ena0,cq=temp,cout=cout0);u2:WANG_WANG port map(a=temp,led=led1);end architecture fd1;2、7 段数码管显示译码器实验仿真图第 8 页 共 8 页 2018/9/106-1.6 实验总结通过本次实验,我们进一步的熟悉了利用 Quartus II 的原理图输入方法设计简单组合电路,学会了 7 段数码显示译码器设计;学会了 VHDL 的 CASE 语句应用及多层次设计方法。掌握了层次化设计的方法,并顺利完成通过一个 7 段数码管显示译码器的设计把握利用 EDA 软件进行原理图输入方式的电子线路设计的详细流程。通过理论和实践想结合,可以更加熟练的掌握技巧。在编写程序的时候遇到的问题也通过最后的硬件测试可以反映及时修改错误。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高等教育 > 大学课件

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报