收藏 分享(赏)

eda课程设计 alu算术逻辑运算单元的设计.doc

上传人:cjc2202537 文档编号:1195926 上传时间:2018-06-17 格式:DOC 页数:14 大小:140KB
下载 相关 举报
eda课程设计 alu算术逻辑运算单元的设计.doc_第1页
第1页 / 共14页
eda课程设计 alu算术逻辑运算单元的设计.doc_第2页
第2页 / 共14页
eda课程设计 alu算术逻辑运算单元的设计.doc_第3页
第3页 / 共14页
eda课程设计 alu算术逻辑运算单元的设计.doc_第4页
第4页 / 共14页
eda课程设计 alu算术逻辑运算单元的设计.doc_第5页
第5页 / 共14页
点击查看更多>>
资源描述

1、1摘要本文讨论了基于FPGA 芯片的四位简单微处理器的设计方法。依据微处理器的基本原理,以XILINUX ISE 9.2I软件平台作为开发工具,它与AMD公司生产的四位微处理器AM2901指令兼容,主要完成微处理器硬件系统设计和指令系统设计两方面的任务,运用VHDL硬件编程语言,使微处理器能够实现两个四位二进制加、减算术运算和与或等逻辑运算功能。微处理器系统包括运算器、控制器、存储器以及其他必要的逻辑部件。关键词:FPGA,VHDL,微处理器。 2目录一 设计任务3二 总体设计3三 详细设计31、ALU 输入选择器的设计32、ALU 算术逻辑运算单元的设计43、存储器 RAM 的设计64、寄存

2、器 qreg1 的设计75、输出选择器的设计86、顶层模块的设计9四 结果分析11五 结束语13六 参考文献133一、设计任务本设计为一个基于VHDL语言的9位简单指令,微处理器的设计流程,包括微处理器的ALU操作数选择、ALU功能选择、RAM输入输出及移位操作,最后用顶层设计进行元件例化。通过编译、仿真检查程序,最后在FPGA芯片上实现下载。二、总体设计系统的主要分五个模块。模块间的关系如下图:ALU 输入选择设计ALU 功能选择设计RAM 输入输出及移位操作设计寄存器输入输出及移位操作设计系统本身输入输出及移位操作三、详细设计微处理器的指令系统规定:基本指令字长为 9 位,指令的每一位从高

3、到低用I8、I 7、I 6、I 5、I 4、I 3、I 2、I 1、I 0 表示。1、ALU 输入选择器的设计指令的编码方式如图指令码ALU 输入选择 其中,ALU 输入选择,可以对 ALU 中运算的操作数进行选择,即 r 和 s,选择信指令码 输入选择I2 I1 I0 r s0 0 0 a q0 0 1 a b0 1 0 0 q0 1 1 0 b1 0 0 0 a1 0 1 d a1 1 0 d q1 1 1 d 04号 a、b、d、q、0 到 ALU。ALU 输入选择器是由微处理器指令中的源操作数所控制的两个多路选择器构成的。输出信号 r 在 a、d 和 0 之间选择,s 信号在 a、b、

4、q 和 0 之间选择。其VHDL 源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity alumux isport ( d,q,a,b : in unsigned(3 downto 0);aluin_ctrl:in std_logic_vector(2 downto 0); -输入选择控制r,s:buffer unsigned(3 downto 0);end alumux;architecture arc1 of alumux isbeginwith aluin_ctrl selectr i

5、f cin=0 then f1 if cin=0 then f1 if cin=0 then f1 f1 f1 f1 f1 f1 null;end case;end process;fab_data(to_integer(baddr)ab_data(to_integer(baddr)ab_data(to_integer(baddr)ab_data(to_integer(baddr)q_dataq_dataq_dataq_dataclk,aaddr=aaddr,baddr=baddr,f=ff,ram1_ctrl=i(8 downto 6),ram0=ram0,ram3=ram3,a=aa,b=bb);-寄存器的端口映射u2:qreg1 port map(clk=clk,f=ff,q_ctrl=i(8 downto 6),q0=q0,q3=q3,q=qq);

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 学术论文 > 大学论文

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报