收藏 分享(赏)

1 告警器.ppt

上传人:Facebook 文档编号:9617144 上传时间:2019-08-18 格式:PPT 页数:8 大小:352KB
下载 相关 举报
1 告警器.ppt_第1页
第1页 / 共8页
1 告警器.ppt_第2页
第2页 / 共8页
1 告警器.ppt_第3页
第3页 / 共8页
1 告警器.ppt_第4页
第4页 / 共8页
1 告警器.ppt_第5页
第5页 / 共8页
点击查看更多>>
资源描述

1、告警器,设计任务设计一种告警器,告警时间在每天 6点钟、23点钟,告警信号为声音,声音持续1分钟。 算法设计用仿状态机结构设计本告警器。考虑用1分钟作 为时钟信号,则1天有1440分钟(24*60),设置的状 态机有1440个状态,6点钟是360个状态,23点钟是第 1380个状态。时间达到这两个状态,输出一个有效控 制信号,同时控制声音源输出音频信号。,VHDL源程序1.文件名:count.vhd2.端口图告警器端口图如图所示。,3.告警器原理框图:,源程序library ieee;use ieee.std_logic_1164.all;entity count isport(clk,clk

2、1k: in bit;speak: out bit);end;architecture a of count issignal q : integer range 0 to 1439;signal oc : bit; beginprocess (clk) -clk的单位为1分钟。,beginif(clkevent and clk=1) thenif q=1439 thenq=0;elseq=q+1;end if;end if;if(q=360 or q=1380) then -按规定状态进行控制。oc=1;else,oc=0;end if; speak=(oc and clk1k);end process;end;,源程序说明1.由于在1440个状态中只有2个状态有输出,如果把所有状态都写出来,程序太长。为了减少文字量,采用本程序写法,而不采用状态机结构描述方法。2.程序中,oc是输出信号,它通过一个与门控制声音源clk1k(1kHz音频)是否输出信号speak。若音频信号speak有输出,则将其外接到扬声器播出告警声音。,仿真图形如下:,第360个状态输出一个有效控制信号,声音源信号设置为1(有效状态),第1380个状态输出一个有效控制信号,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 企业管理 > 管理学资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报