收藏 分享(赏)

VHDL汽车尾灯设计.doc

上传人:fmgc7290 文档编号:6795080 上传时间:2019-04-22 格式:DOC 页数:7 大小:220.50KB
下载 相关 举报
VHDL汽车尾灯设计.doc_第1页
第1页 / 共7页
VHDL汽车尾灯设计.doc_第2页
第2页 / 共7页
VHDL汽车尾灯设计.doc_第3页
第3页 / 共7页
VHDL汽车尾灯设计.doc_第4页
第4页 / 共7页
VHDL汽车尾灯设计.doc_第5页
第5页 / 共7页
点击查看更多>>
资源描述

1、一、 实验目的掌握 VHDL 程序设计方法二、 实验内容和要求共 6 个尾灯,汽车正常行驶时,6 个灯全灭;左转时,左边 3 个灯从右到左依次亮灭;右转时,右边 3 上灯从左到右依次亮灭;刹车时,车灯全亮;故障时,全部闪烁。在软件工具平台上,进行 VHDL 语言的各个模块编程输入、编译实现和仿真验证。三、 实验仪器计算机四、 实验方法、步骤及结构测试1.系统设计与方案: 根据系统设计要求,采用自顶向下设计方法,顶层设计采用原理图设计,它由主控模块、左边灯控制模块和右边灯控制模块三部分组成。2.系统功能: 用 6 个发光管模拟 6 个汽车尾灯(左右各 3 个) ,用 4 个开关作为汽车控制信号,

2、分别为:左拐、右拐、故障和刹车。4 个输入信号为:3参考 VHDL 源程序(1) 主控制模块说明:此程序为系统主控制模块。当左转时,lft 信号有效;右转时,rit 信号有效;当左右信号都有效的时,lr 有效。library ieee; ritlftlftritritlftlftritrit=1;lft=1;lr=1;end case;end process;-主模块process(clk,en,lr,lft,rit)- 左边灯控制模块variable tmp:std_logic_vector(2 downto 0);beginif lr=1 thentmp:=“111“;-左、右都有效时,实

3、现刹车功能elsif clkevent and clk=1 thenif en=1 thenif tmp=“000“ thentmp:=“111“;else tmp:=“000“;end if;-故障时,同时闪烁elsif lft=0 and rit=0 thentmp:=“000“;elsif lft=1thenif tmp=“000“ then tmp:=“001“;elsetmp:=tmp(1 downto 0)-依次闪烁end if; end if;end if;l_out=tmp;end process;- 左边灯控制模块process(clk,en,lr,rit)- 右边灯控制模块

4、variable tmp:std_logic_vector(2 downto 0);beginif lr=1 thentmp:=“111“;-左、右都有效时,实现刹车功能elsif clkevent and clk=1 thenif en=1 thenif tmp=“000“ thentmp:=“111“;else tmp:=“000“;end if; -故障时,同时闪烁elsif lft=0 and rit=0 thentmp:=“000“;elsif rit=1thenif tmp=“000“ then tmp:=“100“;elsetmp:=0 依次闪烁end if; end if;en

5、d if;r_out=tmp;end process;- 右边灯控制模块end kz_arc;从仿真图看出,基本功能都实现:当 en1时,发生故障,六个全部同时闪烁。l_out 和 r_out 在 clk 条件下来,输出全部 时则输出为,否输出为。当 en 为时:当左、右信号都无效,则六个灯全灭。即当 left 和 right 都为时,输出全为。当左、右信号都有效时,则六个灯全亮。即 left 和 right 都为时,输出全为。当左信号有效时且右信号无效时,则车左转,左边三个灯从右到左依次亮灭。即当left=1且 right=0时,则 l_out 从 l_out(0)l_out(2)依次输出一

6、个脉冲周期的高电平。当左信号无效时且右信号有效时,则右转,右转时,右边 3 上灯从左到右依次亮灭。即当 left=且 right=时,则 r_out 从 r_out(0)l_out(2)依次输出一个脉冲周期的高电平。五结论与体会当刚接触 MAX-puls II 这软件时,由自己的英语水平有限,基本功能,操作不会。对于 VHDL 很陌生,几个钟的实验时间都白白浪费。经过老师的指导,请教同学。基本弄懂 MAX-puls II 操作流程。VHDL 语言刚开始很生疏,做汽车尾灯设计时,不能画出其基本组成框图。一时毫无头绪,经过上网,去图书馆找资料,还有就是经过几个星期课程设计(课程设计都有 VHDL 的应用) ,在老师的指导下,了解到 VHDL 在教学上的重要性。汽车尾灯的原理基本明白,进行分模块设计,再进行整合。最终用 VHDL 实现了汽车尾灯的功能。从陌生到熟悉,从熟悉到掌握这个过程,是要不继的训练,不断的思考才能实现。正所谓“冰冻三尺非一日之寒,滴水石穿非一日之功” 。要不断的充实自己,学多一点知识,为自己以后生活提供基本的保障。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 企业管理 > 管理学资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报