收藏 分享(赏)

08半导体存储器和可编程逻辑器件.ppt

上传人:scg750829 文档编号:5998186 上传时间:2019-03-23 格式:PPT 页数:65 大小:4.61MB
下载 相关 举报
08半导体存储器和可编程逻辑器件.ppt_第1页
第1页 / 共65页
08半导体存储器和可编程逻辑器件.ppt_第2页
第2页 / 共65页
08半导体存储器和可编程逻辑器件.ppt_第3页
第3页 / 共65页
08半导体存储器和可编程逻辑器件.ppt_第4页
第4页 / 共65页
08半导体存储器和可编程逻辑器件.ppt_第5页
第5页 / 共65页
点击查看更多>>
资源描述

1、第八章 半导体存储器与可编程逻辑电路,8.1 半导体存储器概述 8.2 ROM 8.3 随机存储器RAM 8.4 存储器容量的扩展 8.5 用存储器实现组合逻辑函数 8.6 可编程逻辑器件概述 8.7 通用阵列逻辑GAL 8.8 现场可编程门阵列 FPGA,8.1 半导体存储器概述 能存储大量二值信息的器件 一、一般结构形式,!单元数庞大 !输入/输出引脚数目有限,二、分类 1、从存/取功能分: 只读存储器 (Read-Only-Memory)随机读/写 (Random-Access-Memory)2、从工艺分: 双极型 MOS型,8.2 ROM 8.2.1 掩模ROM 一、结构,二、举例,D

2、0Dm,两个概念: 存储矩阵的每个交叉点是一个“存储单元”,存储单元中有器件存入“1”,无器件存入“0”存储器的容量:“字数 x 位数”,掩模ROM的特点:出厂时已经固定,不能更改,适合大量生产 简单,便宜,非易失性,8.2.2 可编程ROM(PROM),总体结构与掩模ROM一样,但存储单元不同,8.2.2 可编程ROM(PROM),总体结构与掩模ROM一样,但存储单元不同,写入时,要使用编程器,8.2.3 可擦除的可编程ROM(EPROM),总体结构与掩模ROM一样,但存储单元不同 一、用紫外线擦除的PROM(UVEPROM),二、电可擦除的可编程ROM(E2PROM) 总体结构与掩模ROM

3、一样,但存储单元不同,三、快闪存储器(Flash Memory) 为提高集成度,省去T2(选通管) 改用叠栅MOS管(类似SIMOS管),8.3 随机存储器RAM,8.3.1 静态随机存储器(SRAM) 一、结构与工作原理,二、SRAM的存储单元,六管N沟道增强型MOS管,8.3.2* 动态随机存储器(DRAM)动态存储单元是利用MOS管栅极电容可以存储电荷的原理,8.4 存储器容量的扩展,8.4.1 位扩展方式 适用于每片RAM,ROM字数够用而位数不够时 接法:将各片的地址线、读写线、片选线并联即可,例:用八片1024 x 1位 1024 x 8位的RAM,8.4.2 字扩展方式,适用于每

4、片RAM,ROM位数够用而字数不够时,1024 x 8 RAM,例:用四片256 x 8位1024 x 8位 RAM,8.5 用存储器实现组合逻辑函数,一、基本原理 从ROM的数据表可见:若以地址线为输入变量,则数据线即为一组关于地址变量的逻辑函数,8.5 用存储器实现组合逻辑函数,一、基本原理 从ROM的数据表可见:若以地址线为输入变量,则数据线即为一组关于地址变量的逻辑函数,二、举例,8.6 可编程逻辑器件概述,PROM的特点:,地址字,一一对应,所需存储容量大,信息表完全,PLA针对ROM这一特点,逻辑压缩,PROM 与阵列固定、或阵列可编程,PLA 与、或阵列均可编程,集成化的PLA,

5、可控求反异或门,【例】,存储信息表,用16x8 ROM存储,ROM容量:与阵列8x16,或阵列 16x8,总容量256。,用PLA存储,将表达式逻辑压缩(化简),点阵图,PLA容量,与阵列: 8x9 或阵列:8x9,2N(输入数),M(输出数),P项数,总点数:144,存入信息表的PLA,PLA的特点,与阵列可编,ROM的译码器是完全译码器。N个输入必然有2N个字。PLA的地址译码器是非完全译码器。用户可编程形成P项(不是最小项) 。,非完全寻址。,非对应关系。,ROM中,信息表示原封不动地装入存储矩阵中。PLA中,存入存储矩阵中的内容是经过化简、压缩的,它和信息表不是一一对应的关系。,PLA

6、应用举例,【例1】,由PLA和D触发器组成同时具有BCD和Gray输出的计数器,BCD码控制函数:,不要忘记画CP!,用PLA和D触发器组成的同步十进制计数器,如何通过PLA输出,而不是从触发器输出?,具有二十进制和循环码变换功能的十进制计数器,【例2】,由PLA和D触发器实现4位可变模数计数器,二进制计数器进位逻辑,判断逻辑,T=1, 继续计数; T=0,复位到0000.,4位可变模数计数器,ROM PLA,或阵列可编程与、或阵列都可编程,灵活,节省码点,PLA PAL,工艺:简化工艺,降低成本(熔丝工艺,一次编程),结构:输入/输出公用,PAL是专用词,MMI公司的产品,结构,PLA 与、

7、或阵列均可编程,PAL 与阵列可编程、或阵列固定,PAL画图的方式:,只留出可编程的与阵列,固定的或阵列用与或门,互补输出封锁多余或项,D= P0+P1+P2+P7,几种PLA的原理图,带有反馈的阵列型PAL,几种PLA的原理图,16R6型PAL产品,16个变量,6个寄存器 32列对应16个变量,每一行是一个P项, 每个P项最多32个因子相与,每个输出变量(FF的控制函数)有8个P项相或. 与阵列规模:64*32,出厂时与阵列每一点都可编程,此图交叉点都是可编程的!,【例】,4位双向移位寄存器装入PAL16R6,双向移位寄存器功能表,双向移位寄存器功能表,实现双向移位功能的PAL,8.7 通用

8、阵列逻辑GAL,PAL GAL,基本逻辑结构与PAL相同,或阵列不可编程。,电擦除工艺,可以重复编程. 修改设计方便,可以重复试验。,GAL每个输出接有输出宏单元OLMC , 通过对OLMC编程,可以得到多种输出方式:寄存器输出,组合逻辑输出等。,典型GAL器件,GAL16V8,16个输入变量,其中右侧8个在OLMC控制下,可以配置为输入或输出. 88=64行为可编程的与阵列,对应64个P项. 不可编程的或阵列在OLMC内部.,OLMC结构:,4个数据选择器(MUX)是核心,在结构控制字的控制下,选择不同的输入,构成不同的输出结构. 书上247页4种不同类型的PAL都统一到一个GAL内部,因此

9、叫“通用阵列逻辑”.,8.8 现场可编程门阵列FPGA,一、 FPGA的基本结构,8.8.2 FPGA的编程,1.设计的输入,使用MAX+PLUSII软件支持的高级行为语言VHDL的语言结构,2编程数据的装载,首先应对管脚进行分配,例:用VHDL语言描述同步计数器。,有一个同步16进制计数器,功能表如下:,library ieee; IEEE库 use ieee.std_logic_1164.all; 使用IEEE中的STD库 use ieee.std_logic_unsigned.all; 使用IEEE中的UNSIGNED库 entity count16 is 计数器count16是一个实体

10、 port(clk,clr,en:in std_logic; 输入clk,clr,en是逻辑变量qa,qb,qc,qd: out std_logic); 输出qa,qb,qc,qd是逻辑变量 end; 描述count16结束,architecture RTL of count16 is 构造一个16进制计数器,构造体名为 RTLsignal count_4:std_logic_vector(3 downto 0); 四位计数器位数从3到0 beginqa=count_4(0); 计数器中的qa是0位qb=count_4(1); 计数器中的qb是1位qc=count_4(2); 计数器中的qc是

11、2位qd=count_4(3); 计数器中的qd是3位,process(clk,clr) 进程beginif(clr=1) THEN 如果clr=1count_4=“0000“; 计数器清零ELSIF(clk=1 AND clkEVENT) THEN clk=1, 上升沿动作IF(en=1) THEN 如果使能端en=1IF(count_4=“1111“) THEN 且4位计数器的状态是1011count_4=“0000“; 那么计数器返回初态0000ELSEcount_4= count_4+1; 否则计数器加1END IF;END IF;END IF; END PROCESS; END RTL;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 网络科技 > 开发文档

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报