收藏 分享(赏)

设计一个多功能的1位加法器-有控制信号M、S2、S1、S0。.doc

上传人:weiwoduzun 文档编号:5703021 上传时间:2019-03-13 格式:DOC 页数:2 大小:27.50KB
下载 相关 举报
设计一个多功能的1位加法器-有控制信号M、S2、S1、S0。.doc_第1页
第1页 / 共2页
设计一个多功能的1位加法器-有控制信号M、S2、S1、S0。.doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

设计一个多功能的 1 位加法器,有控制信号 M、S2、S1、S0。当 M=1,做算术运算:在 S2、S1、S0 的控制下能完成两个 1 位二进制数 A、B 的以下算术运算: A 加B,A 加 1,A 加 B 加低位来的进位,B 加 1,A 加 ,A 加 0,A 加 A ,A 加 加1。当 M=0,做逻辑运算:在 S2、S1、S0 的控制下能完成两个 1 位二进制数 A、B 的以下逻辑运算:A+B,AB, , , , , , 等。用 VHDL 编程并仿真(时序) 。 library ieee;use ieee.std_logic_1164.all;entity adder isport(m,s2,s1,s0,a,b,ci:in std_logic;f,co:out std_logic);end adder;architecture behave of adder issignal f_i:std_logic_vector(3 downto 0);beginf_i f f f f f f f f f f f f f f f f f=0;co=0;end case;end process;end behave;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 企业管理 > 管理学资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报