设计一个多功能的 1 位加法器,有控制信号 M、S2、S1、S0。当 M=1,做算术运算:在 S2、S1、S0 的控制下能完成两个 1 位二进制数 A、B 的以下算术运算: A 加B,A 加 1,A 加 B 加低位来的进位,B 加 1,A 加 ,A 加 0,A 加 A ,A 加 加1。当 M=0,做逻辑运算:在 S2、S1、S0 的控制下能完成两个 1 位二进制数 A、B 的以下逻辑运算:A+B,AB, , , , , , 等。用 VHDL 编程并仿真(时序) 。 library ieee;use ieee.std_logic_1164.all;entity adder isport(m,s2,s1,s0,a,b,ci:in std_logic;f,co:out std_logic);end adder;architecture behave of adder issignal f_i:std_logic_vector(3 downto 0);beginf_i f f f f f f f f f f f f f f f f f=0;co=0;end case;end process;end behave;