收藏 分享(赏)

EDA课程设计--锯齿波发生器.pdf

上传人:weiwoduzun 文档编号:4240124 上传时间:2018-12-17 格式:PDF 页数:11 大小:616.42KB
下载 相关 举报
EDA课程设计--锯齿波发生器.pdf_第1页
第1页 / 共11页
EDA课程设计--锯齿波发生器.pdf_第2页
第2页 / 共11页
EDA课程设计--锯齿波发生器.pdf_第3页
第3页 / 共11页
EDA课程设计--锯齿波发生器.pdf_第4页
第4页 / 共11页
EDA课程设计--锯齿波发生器.pdf_第5页
第5页 / 共11页
点击查看更多>>
资源描述

1、太 原 科 技 大 学 : 名 字 起 个 什 么电 子 课 程 设 计 锯 齿 波 发 生 器学 院 :班 级 :姓 名 :学 号 :指 导 教 师 :2009 年 12 月太 原 科 技 大 学 : 名 字 起 个 什 么目 录1.设 计 任 务 与 要 求 12.总 体 框 图 23.选 择 器 件 34.功 能 模 块 55.设 计 总 体 电 路 图 86.心 得 体 会 10太 原 科 技 大 学 : 名 字 起 个 什 么一、设计任务与要求 设 计 任 务 :设 计 一 个 锯 齿 波 发 生 器 设 计 目 的 :1.学 习 用 VHDL设 计 波 形 发 生 器2.掌 握 F

2、PGA对 D/A的 接 口 和 控 制 技 术 , 设 计 要 求 :用 QuarusII为 平 台 , 设 计 一 个 锯 齿 波 发 生 器 , 利 用 实 验 箱 上 的 D/A转 换 器将 输 出 的 数 字 信 号 转 换 为 模 拟 信 号 , 并 且 能 够 通 过 示 波 器 观 察 到 锯 齿 波 波 形 。二、总体框图1 总 体 框 图 :波 形 输 出图 1. 总 体 框 图2.系 统 设 计 方 案 :方 案 一 、在 Quartus 上 采 用 VHDL语 言 编 程 完 成 正 弦 波 信 号 发 生 器 设 计 , 然 后 通 过AD558和 MAX EPF10K

3、10LC84-4芯 片 与 示 波 器 相 连 观 察 输 出 波 形 。方 案 二 、在 Quartus 上 完 成 正 弦 信 号 发 生 器 设 计 , 包 括 仿 真 和 资 源 利 用 情 况 了 解FPGACLD D/A转 换 器 滤 波 电 路太 原 科 技 大 学 : 名 字 起 个 什 么( 利 用 Cyclone 器 件 ) 。 最 后 在 实 验 系 统 上 实 测 , 包 括 SignalTap 测 试 、FPGA一 种 高 效 的 硬 件 测 试 手 段 和 传 统 的 测 试 方 法 相 结 合 , 这 就 是 嵌 入 式 逻 辑 分 析 仪 的使 用 。 它 可

4、以 随 设 计 文 件 一 并 下 载 于 目 标 芯 片 中 , 用 以 捕 捉 目 标 芯 片 内 部 信 号 节点 处 的 信 息 , 而 又 不 影 响 原 硬 件 系 统 的 正 常 工 作 。 在 实 际 监 测 中 , SignalTap将 测 得 的 样 本 信 号 暂 存 于 目 标 器 件 中 的 嵌 入 式 RAM( 如 ESB、 M4K) 中 , 然 后 通 过器 件 的 JTAG端 口 将 采 得 的 信 息 传 出 , 送 入 计 算 机 进 行 显 示 和 分 析 。 嵌 入 式 逻 辑分 析 仪 SignalTap 允 许 对 设 计 中 的 所 有 层 次 的

5、 模 块 的 信 号 节 点 进 行 测 试 , 可 以使 用 多 时 钟 驱 动 , 而 且 还 能 通 过 设 置 以 确 定 前 后 触 发 捕 捉 信 号 信 息 的 比 例 。三、选择器件1) D/A转 换 器 AD558图 2. AD558 芯 片太 原 科 技 大 学 : 名 字 起 个 什 么AD5 5 8 如 上 图 所 示 , 是 一 款 完 整 的 电 压 输 出 8 位 数 模 转 换 器 , 它 将 输 出 放 大器 、 完 全 微 处 理 器 接 口 以 及 精 密 基 准 电 压 源 集 成 在 单 芯 片 上 。 无 需 外 部 元 件 或 调整 , 就 能 以

6、 全 精 度 将 8 位 数 据 总 线 与 模 拟 系 统 进 行 接 口 。 AD5 5 8 提 供 四 种 性 能 等级 产 品 。 AD5 5 8 J 和 AD5 5 8 K 的 工 作 温 度 范 围 为 0 C 至 +7 0 C, AD5 5 8 S 和 AD5 5 8 T则 为 -5 5 C 至 +1 2 5 C。 J 级 和 K 级 可 采 用 1 6 引 脚 塑 料 (N)或 密 封 陶 瓷 (D) DIPS 封装 , 也 可 采 用 2 0 引 脚 JEDEC 标 准 PLCC 封 装 。 S 级 和 T 级 均 采 用 1 6 引 脚 密 封 陶瓷 DIP 封 装 。AD

7、5 5 8 芯 片 的 特 性 : 完 整 8 位 DAC 电 压 输 出 : 两 种 校 准 范 围 内 部 精 密 带 隙 基 准 电 压 源 单 电 源 供 电 : +5 V 至 +1 5 V 完 全 微 处 理 器 接 口 快 速 建 立 时 间 : 1 s 内 电 压 达 到 1 /2 LSB 精 度 低 功 耗 : 7 5 mW 无 需 用 户 调 整 在 工 作 温 度 范 围 内 保 证 单 调 性 规 定 了 Tmin 至 Tmax 的 所 有 误 差 小 型 1 6 引 脚 DIP 和 2 0 引 脚 PLCC 封 装 激 光 晶 圆 调 整 单 芯 片 供2) MAX E

8、PF10K10LC84-4可 编 程 逻 辑 器 件太 原 科 技 大 学 : 名 字 起 个 什 么MAX EPF10K10LC84-4是 一 种 复 杂 可 编 程 逻 辑 器 件 , IC管 脚 参 阅 原 理 图 , 是84pinPLCC封 装 , 另 外 还 有 其 它 类 型 的 管 脚 和 封 装 , 选 择 性 强 , 该 IC具 有 以 下主 要 性 能 : 嵌 入 式 可 编 程 逻 辑 器 件 , 提 供 了 集 成 系 统 于 单 个 可 编 程 逻 辑 器 件 中 的 性 能 ; 高 密 度 : 提 供 10000250000个 可 用 门 , 614440960位

9、内 部 RAM; 低 功 耗 : 多 数 器 件 在 静 态 模 式 下 电 流 小 于 0.5mA, 在 2.5V、 3.3V或 5.0v下工 作 ; 高 速 度 : 时 钟 锁 定 和 时 钟 自 举 选 项 分 别 用 于 减 少 时 钟 延 时 /过 冲 和 时 钟 倍 频 ;器 件 内 建 立 树 形 分 布 的 低 失 真 时 钟 ; 具 有 快 速 建 立 时 间 和 时 钟 到 输 出 延 时 的外 部 寄 存 器 ; 灵 活 的 互 连 方 式 : 快 速 、 互 连 延 时 可 预 测 的 快 速 通 道 ( Fast Track) 连 续 式布 线 结 构 ; 实 现 快

10、 速 加 法 、 计 数 、 比 较 等 算 术 逻 辑 功 能 的 专 用 进 位 链 ; 实 现高 速 、 多 输 入 ( 扇 入 ) 逻 辑 功 能 的 专 用 级 联 链 ; 实 现 内 部 三 态 的 三 态 模 拟 ;多 达 六 个 全 局 的 时 钟 信 号 和 四 个 全 局 清 除 信 号 ; 支 持 多 电 压 I/O接 口 ; 强 大 的 引 脚 功 能 : 每 个 引 脚 都 有 一 个 独 立 的 三 态 输 出 使 能 控 制 及 漏 极 开 路 配置 选 项 及 可 编 程 输 出 压 摆 率 控 制 ; FLEX10KA、 10LE、 10KS器 件 都 支 持

11、 热 插拔 ; 多 种 配 置 方 式 : 内 置 JTAG边 界 扫 描 测 试 电 路 , 可 通 过 外 部 EPROM、 智 能 控 制或 JTAG接 口 实 现 在 电 路 重 构 ( ICR) ; 多 种 封 装 形 式 : 引 脚 范 围 为 84600, 封 装 形 式 有 TQFP、 PQFP、 BGA和 PLCC等 , 同 一 封 装 的 FLEX 10K系 列 器 件 的 引 脚 相 兼 容 。太 原 科 技 大 学 : 名 字 起 个 什 么四、功能模块delta模 块 :其 VHDL语 言 如 下 :library ieee;use ieee.std_logic_11

12、64.all;use ieee.std_logic_unsigned.all;entity delta isport(clk, reset: in std_logic;q: out std_logic_vector(7 downto 0);end delta;architecture behave of delta isbeginprocess(clk, reset)variable tmp: std_logic_vector(7 downto 0);variable a: std_logic;太 原 科 技 大 学 : 名 字 起 个 什 么beginif reset=0 thentmp:=

13、“00000000“;elsif clkevent and clk=1 thenif a=0 thenif tmp=“11111110“ thentmp:=“11111111“;a:= 1;elsetmp:=tmp+1;end if;elseif tmp=“00000001“ thentmp:=“00000000“;a:=0;elsetmp:=tmp-1;end if;end if;end if;q=tmp;end process;太 原 科 技 大 学 : 名 字 起 个 什 么end behave;锯 齿 波 仿 真 图五、设计总体电路图锯 齿 波 电 路太 原 科 技 大 学 : 名 字

14、 起 个 什 么管 脚 分 配 图六、心得体会在 VHDL语 言 课 程 设 计 中 , 通 过 高 老 师 的 指 导 与 讲 述 , 我 最 终 决 定 设 计的 是 三 角 波 发 生 器 。通 过 运 用 VHDL语 言 编 程 , 通 过 运 用 软 件 Quartus II 6.0, 逐 渐 掌 握 EDA的用 法 , 熟 练 步 骤 , 对 以 后 的 学 习 与 工 作 做 了 很 好 的 铺 垫 ; 剖 析 整 个 系 统 运 行 的 步骤 与 工 作 原 理 , 从 而 完 成 对 整 个 设 计 的 理 论 分 析 任 务 , 以 此 来 指 导 其 他 设 计 过 程

15、;硬 件 电 路 设 计 主 要 是 设 计 相 关 模 块 的 设 计 思 想 的 可 视 化 , 是 相 关 模 块 的 电 路 图 的总 汇 和 其 相 关 仿 真 波 形 的 锦 集 , 该 部 分 条 理 清 晰 , 思 路 明 确 , 从 中 我 们 可 以 清 晰地 看 到 该 设 计 方 案 的 具 体 模 块 和 整 个 设 计 的 原 理 结 构 图 。 通 过 这 些 的 学 习 , 自 己对 VHDL语 言 的 设 计 方 法 有 了 进 一 步 的 学 习 , 对 其 相 关 语 言 设 计 规 范 有 了 更深 层 次 的 掌 握 , 能 够 更 加 熟 练 的 做

16、 一 些 编 程 设 计 。随 着 技 术 市 场 与 人 才 市 场 对 EDA技 术 的 需 求 不 断 提 高 , 产 品 的 市 场 效 率 和 技术 要 求 也 将 会 影 响 到 教 学 与 科 研 领 域 。 因 此 , 这 一 次 课 程 设 计 开 展 很 好 的 把 握 了教 学 的 改 革 方 向 , 更 好 的 锻 炼 了 学 生 理 论 联 系 实 践 的 能 力 。太 原 科 技 大 学 : 名 字 起 个 什 么经 过 两 周 的 课 程 设 计 , 由 于 是 第 一 次 , 过 程 有 点 曲 折 , 有 点 累 , 但 最 后 能 得到 理 想 的 结 果

17、, 心 里 感 到 特 别 高 兴 。 因 为 是 课 程 设 计 , 需 要 制 定 一 个 最 合 理 的 方案 。 这 就 锻 炼 了 我 们 理 论 分 析 、 比 较 , 联 系 实 际 情 况 的 能 力 。 由 于 需 要 各 个 方 面的 材 料 和 数 据 , 我 们 需 要 运 用 各 种 手 段 去 查 找 资 料 , 这 增 长 了 我 们 自 学 的 能 力 。在 电 路 的 生 成 和 调 试 过 程 中 , 我 们 遇 到 了 各 种 问 题 , 通 过 对 种 种 问 题 的 解 决 , 我们 在 工 程 实 际 的 层 次 上 更 进 一 步 理 解 理 论 知 识 。 我 们 不 仅 更 好 地 理 解 了 所 学 的 理论 知 识 , 更 重 要 的 是 把 知 识 从 书 中 提 炼 出 来 运 用 到 生 活 当 中 , 这 是 一 种 质 的 飞 跃 。在 这 次 课 程 设 计 当 中 也 离 不 开 老 师 的 帮 助 , 他 们 尽 心 尽 责 给 了 我 很 大 的 帮 助 , 非常 感 谢 。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 实用文档 > 课程设计

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报