收藏 分享(赏)

硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc

上传人:dreamzhangning 文档编号:4031041 上传时间:2018-12-05 格式:DOC 页数:20 大小:331KB
下载 相关 举报
硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc_第1页
第1页 / 共20页
硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc_第2页
第2页 / 共20页
硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc_第3页
第3页 / 共20页
硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc_第4页
第4页 / 共20页
硬件描述语言课程设计报告写作参考模板(胡仕刚编).doc_第5页
第5页 / 共20页
点击查看更多>>
资源描述

1、信息与电气工程学院硬件描述语言课程设计设 计 说 明 书循环彩灯控制器数字钟学 生 姓 名 许 承 杰学 号 1404040204班 级 通 信 二 班成 绩指 导 教 师 谭 超通信工程系2016 年 12 月 27 日信 息 与 电 气 工 程 学 院课程设计评阅人评语评阅人: (签名)年 月 日评阅人评定成绩: 信息与电气工程学院课程设计任务书20162017 学年第 一 学期专业: 通信工程 学号: 1404040204 姓名: 许承杰 课程设计名称:硬件描述语言课程设计设计题目: 一、循环彩灯控制器 二、数字钟 完成期限:自 2016 年 12 月 19 日至 2016 年 12 月

2、 23 日共 一 周设计依据、要求及主要内容(可另加附页):一、循环彩灯控制器A、要求及主要内容:设计一个循环彩灯控制器,该控制器控制红,绿,黄三个发光管循环点亮。要求红发光管亮 3 秒,绿发光管亮 2 秒,黄发光管亮 1 秒。B、设计依据:在本题中要求控制器可以控制红,绿,黄三个发光管循环点亮,并且要求发光的时间不一样,这样,本质设计思想是循环累加,即时钟信号高电平开始,m 值开始累加,CASE m ISWHEN “001“=doutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdoutdout

3、=“10“)THEN count1=“101“)then countdaoutdaout(3)daoutdaout(3)daoutdaout(3 downto 2)=“00“; 11daout(1 downto 0)=hour(5 downto 4);dp=0; end case; end process; end fun; 仿真图如下:F、LED 显示译码器模块LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY deled ISPORT(num:IN std_logic_vect

4、or(3 downto 0); led:OUT std_logic_vector(6 downto 0); end deled; ARCHITECTURE fun OF deled IS BEGIN led=“1111110“when num=“0000“else “0110000“when num=“0001“else “1101101“when num=“0010“else “1111001“when num=“0011“else “0110011“when num=“0100“else “1011011“when num=“0101“else “1011111“when num=“011

5、0“else “1110000“when num=“0111“else “1111111“when num=“1000“else “1111011“when num=“1001“else “1110111“when num=“1010“else “0011111“when num=“1011“else 12“1001110“when num=“1100“else “0111101“when num=“1101“else “1001111“when num=“1110“else “1000111“when num=“1111“; END fun;仿真图如下:G、仿真结果下 图 是 最 后 仿 真

6、 的 结 果 , 得 不 到 正 确 的 结 果 。 可 能 的 原 因 是 FPGA 的时钟输出为 20MHZ, 经 过 分 频器 得 到 1KHZ 和 1HZ0 的频率需要多次的计数,由于计数值太大无法得到正确的仿真结果。H、数字钟设计原理图135. 心得体会本次试验的数字时钟能只够显示时间,其它功能如闹铃、调时、分、秒都能在此基础上进一步的实现,由于时间有限只能调试到这里。经过努力,设计基本上算是完成了,在整个设计中,我最大的体会就是:难!我们在本次的课程设计中,发现了很多问题,同时做起来也很难不顺手,看着简单的电路,要动手把它设计出来实非易事,主要因是我们没有经常动手设计电路,这就要求

7、我们在以后的学习中,应该注意到这一点,更重要的是我们要学会把从书本上学到的知识和实际电路联系起来,这不论对我们以后的学习还是就业,都会起到很大的促进和帮助,我相信,通过这次的课程设计,在下一阶段的学习中我们会更加努力,力争把这门课学好学精。同时通过本次课程设计,巩固了我们以前学过的专业知识,通过这次的程序设计,使我们对数字系统结构也有了进一步的了解与认识,同时对数据库软件技术,语言等系列知识都有了一定的了解与认识。使用技术开发页面的能力也有了提高,也使我们把理论与实践从真正意义上结合了起来,考验了我们的动手能力,查阅相关资料的能力,还有组织材料的能力。实践,我们从中可以找出自己知识的不足与欠缺

8、,以便我们在日后的学习中得以改进与提高。经过本次设计使我们对所学习到的知识得以进一步实践,这将对我们走出校园走向社会走向工作岗位通过此次奠定坚实的基础参考文献1李国洪.可编程器件 EDA 技术与实践 M.北京:机械工业出版社,2004:100-123.2张玉杰,马立云,张贺艳.基于 ARM 和 FPGA 的 LED 显示屏控制系统的设计 J.计算机测量与控制,2009,17(12):1-2.3翟殿堂,方敏,历光伟.基于 EDA 的多功能计时器设计J. 信息技术,2008,17(11):39-45.4 张立,张光新,柴磊,周泽魁.FPGA 在多功能计费器系统中的应用J.仪器仪表报,2005,26

9、(28):25-27.5潭会生,张昌凡.EDA 技术及应用J.西安:电子科技大学出版社 .2001,16(2):46-48.6诗文 DigiTimes 专稿;片上系统潮流下 EDA 市场发展趋势N .电子资讯时报,2005-06-06(A02).147奚素霞.基于 EDA 技术的数字电路设计J.重庆科技学院学报 (自然科学),2009,11(4):124-151.8候伯亨,顾新.VHDL 硬件描述语言与数字逻辑电路设计M.西安:西安电子科技大学出版社,1999:5-7.9赵辉.基于 EDA 技术的数字系统设计 J.电子设计工程 ,2012,20(2):34-37.10臧明相,李园园,郭瑞刚.智能复用 EDA 设计信息及调试文档自动生成技术 J. 计算机工程与设计,2011,32(3):1119-1122.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 实用文档 > 课程设计

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报