1、QuartusII简介Altera Corporation101 Innovation DriveSan Jose, CA 95134(408) 544-Quartus II简介版本5.0 第一次修订2005年4月 P25-09235-04Altera、Altera 标识 、FastTrack、HardC opy、MAX、MAX+PLUS、MAX+PLUS II、Me gaCore、MegaWizard、NativeLink、Nios、OpenCore、Quartus、 QuartusII、QuartusII标识和SignalTa p是Altera公司在美国和其它国家的注册商标。Avalon、
2、ByteBlaster、ByteBl asterMV、Cyclone, Excalibur, IP MegaStore, Jam, LogicLock,MasterBlaster, MegaLAB, PowerFit, SignalProbe, Stratix和USB-Blas ter 是 Altera公司在美国和其它国家的商标以及服务标志。Altera公司使用的产品设计单元和助记符受版权法以及商标法的保护。 Altera公司承认本文档提及的其它组织的产品或商标以及服务标志,特别是:ARM是注册商标,AMBA是ARM公司的商标。Mentor Graphics 和 ModelS im 是 Men
3、tor Graphics公司的注册商标。Altera 保留更改本文档中所述器件或器件规范的权利,恕不另行通知。Altera 建议客户在下订单之前取得器件规范的最新版本,以确认您所获得的信息是最新的。Altera 保证自己的半导体产品性能符合当前规范,与 Altera 的标准担保一致。Altera 使用了必要的测试和其它质量控制技术,足以支持此担保。除非政府管制要求,否则没有必要对每个设备的所有参数都进行具体测试。如果没有书面协议另做规定,Altera 对于使用本文档所述半导体器件而引起有关的 Altera 应用协助、客户产品设计或对第三方专利或版权的侵权均不承担任何责任。Altera 不保证或
4、代表Altera 涵盖或相关的可能使用或正在使用此类半导体器件在内的任何组合、机械或过程的任何专利权、版权或其它知识产权。 没有 Altera公司总裁以书面形式明确同意, Altera 产品不得用作生命支持器件或系统中的关键元件。其中:1. 生命支持器件或系统是指这类器件或系统: (a) 用于外科手术植入人体内; (b) 支持或维持生命,而且当这种器件或系统在按照标签上提供的使用说明正确使用时,却无法发挥正常功效时,可能会对用户造成严重伤害。2. 关键元件是指生命支持设备或系统的任何元件,如果不能正常发挥功效,可能会导致生命支持器件或系统出现故障,或影响它的安全性或有效性。Altera产品受多
5、种美国和国外专利以及未决专利、外观权利和版权的保护。版权所有 2005 Altera公司。保留所有权利。 ALTERA公司 QUARTUS II简介 III前言. .ix文档编制约定 xi第1章: 设计流程. 1简介. 2图形用户界面设计流程. 3EDA工具设计流程 .10命令行设计流程 .15命令行可执行文件. 16使用标准命令行命令和脚本. 20使用Tcl 命令. 22建立Makefile 脚本 25设计方法和设计规划 27自上而下与自下而上的设计方法比较. 27自上而下渐进式编译设计流程 .28自下而上基于LogicLock的设计流程. .29第2章: 设计输入. 31简介. 32建立工
6、程. .33使用修订. 34使用版本兼容的数据库. .37转换MAX+PLUS II工程 38建立设计. .39使用Quartus II Block Editor .40使用Quartus II Text Editor 41使用Quartus II Symbol Editor. .42使用Verilog HDL、VHDL 与AHDL. 42使用Altera 宏功能模块. .43使用知识产权(IP) 宏功能模块. 44使用MegaWizard Plug-In Manager. .45在 Quartus II 软件中例化宏功能模块 46在 Verilog HDL 和 VHDL 中例化. 46使用端
7、口和参数定义 .47推断宏功能模块 47在 EDA 工具中例化宏功能模块. .47使用Black-Box 方法. .47按推断进行例化 48使用 Clear-Box 方法 48第3章: 约束输入. 51简介. 52使用 Assignment Editor. 52使用Pin Planner .54使用Settings对话框 .56分配设计分区. 57目录目录IV QUARTUS II简介 ALTERA公司在Project Navigator中分配设计分区 57使用Design使用 Partitions窗口分配设计分区 58导入分配. 59验证引脚分配. .60第4章: 综合. .61简介. .6
8、2使用Quartus II Verilog HDL 或将编程文件转换为其它文件格式以供嵌入式处理器等其它系统使用。17. (可选) 使用SignalTapII Logic Analyzer、SignalProbe功能或Chip Editor 对设计进行调试。18. (可选) 使用Chip Editor、Resource Property Editor 和Change Manager 管理工程更改。EDA工具设计流程Quartus II 软件允许在设计流程的不同阶段使用您熟悉的EDA 工具。可以与Quartus II 图形用户界面或者Quartus II 命令行可执行文件一起使用这些工具。 图7
9、 显示了EDA 工具设计流程。第1章: 设计流程EDA工具设计流程ALTERA公司 QUARTUS II简介 114XDUWXV,7LPLQJ$QDOHU4XDUWXV,)LWWHU4XDUWXV,($1HWOLVW:ULWHU($ h 4 V 9HULORJ2XWSXW 4 YR “ 9+/2XWSXW 4 YKR “ 940 4“ 6WDQGDUGHOD)RUPDW2XWSXW 4 VGR “l. 4“ ? 4“ 7FO Y 4 WFO “ ,%,62XWSXW 4 LEV 67$03 4 GDWD PRG 4XDUWXV,$QDOVLV 6QWKHVLV($ I ($ 6 4 V 9+/
10、 4 YKG G9HULORJ 4 Y (,)QHWOLVWILOHV HGI 9HULORJ4XDUWXV0DSSLQJ 4 YTP 4XDUWXV,6LPXODWRU($“J ($ I ($ ($f 4XDUWXV,$VVHPEOHU4XDUWXV,3URJUDPPHU图 7. EDA 工具设计流程表2 是Quartus II 软件支持的EDA 工具,并指出哪个EDA 工具具有NativeLink支持。NativeLink 技术在Quartus II 软件和其它EDA 工具之间实现信息的无缝传送,并允许在Quartus II 软件中自动运行EDA 工具。第1章: 设计流程EDA工具设计流程
11、12 QUARTUS II简介 ALTERA公司表 2. Quartus II软件支持的EDA 工具 (第 1部分,共 2部分)功能 支持的EDA工具 NativeLink 支持设计输入和综合 Mentor Graphics Design ArchitectMentor Graphics LeonardoSpectrum vMentor Graphics Precision RTL Synthesis vMentor Graphics ViewDrawSynopsys Design CompilerSynopsys Design Compiler FPGASynopsys FPGA Compi
12、lerII vSynplicity Synplify vSynplicity Synplify Pro v仿真 Cadence NC-Verilog vCadence NC-VHDL vCadence Verilog-XLMentor GraphicsModelSim vMentor Graphics ModelSim-Altera vSynopsys VCS MX vSynopsys VCS vSynopsys VSS时序分析 Mentor Graphics Ta u (through Stamp)Synopsys PrimeTime v板级设计 Hyperlynx (through Si
13、gnal Integrity IBIS)XTK (through Signal Integrity IBIS)ICX (through Signal Integrity IBIS)SpectraQuest (through Signal Integrity IBIS)Mentor Graphics Symbol Generation (Viewdraw)形式验证 Cadence Encounter ConformalSynopsys Formality第1章: 设计流程EDA工具设计流程ALTERA公司 QUARTUS II简介 13Settings 对话框(Assignments 菜单) 的
14、 EDA Tool Settings 页面允许您指定与Quartus II 软件一起使用的EDA 工具。请参见 图8 。图 8. Settings 对话框的EDA Tool Settings 页面物理综合 Magma Design Automation PALACE vSynplicity Amplify表 2. Quartus II软件支持的EDA 工具 (第 2部分,共 2部分)功能 支持的EDA工具 NativeLink 支持第1章: 设计流程EDA工具设计流程14 QUARTUS II简介 ALTERA公司EDA Tool Settings 下的几个页面为每种类型的EDA工具提供其他选
15、项。 以下步骤说明其它EDA 工具与Quartus II 软件配合使用时的基本设计流程。有关所支持的EDA 工具列表,请参阅 第12页上表2 。1. 创建新工程并指定目标器件或器件系列。2. 使用标准文本编辑器建立VHDL 或Verilog HDL 设计文件。根据需要,例化库功能或使用 MegaWizard Plug-In Manager (Tools 菜单) 建立宏功能模块自定义变量。3. 使用Quartus II 支持的EDA 综合工具之一综合您的设计,并生成EDIF 网表文件(.edf) 或Verilog Quartus Mapping 文件(.vqm)。4. (可选) 使用Quartu
16、s II 支持的仿真工具之一对您的设计进行功能仿真。5. 在Quartus II Settings 对话框(Assignments 菜单) 中,指定与Quartus II 软件一起使用的EDA 设计输入、综合、仿真、时序分析、板级验证、形式验证和物理综合工具,并为这些工具指定附加选项。6. 使用Quartus II 软件编译设计并进行布局布线。可以执行完整编译,或者单独运行Compiler 模块:a. 运行Analysis 或将编程文件转换为其它文件格式以供嵌入式处理器等其它系统使用。命令行设计流程Quartus II 软件提供全面的命令行界面解决方案。它允许您使用命令行可执行文件和选项完成设
17、计流程的每个阶段。使用命令行流程可以降低内存要求,并可使用脚本或标准的命令行选项和命令(包括Tcl 命令) 控制Quartus II 软件,建立Makefile 。有关命令行设计流程的图示说明,请参见 图9 。f有关信息 请参阅与Synplicity Synplify 和Synplify Pro 软件一起使用Quartus II 软件Altera 网站 Quartus II Handbook第1 卷“Synplicity Synplify and Synplify Pro Support”与Mentor Graphics LeonardoSpectrum软件一起使用Quartus II 软件A
18、ltera 网站 Quartus II Handbook第1 卷“Mentor Graphics LeonardoSpectrum Support”与Mentor Graphics Precision RTL Synthesis 软件一起使用Quartus II 软件Altera 网站 Quartus II Handbook第1 卷“Mentor Graphi cs Precision RTL Synthesis Support”与Synopsis DC FPGA软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第1 卷“Synopsys Desig
19、n Compiler FPGA Support”与Synplicity Amplify软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第2 卷“Synplicity Amplify Physical Synthesis Support”与Mentor Graphics ModelSim软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第3 卷“Mentor Graphics ModelSim Support”与Synopsys VCS软件一起使用Quartus II软件Altera 网站 Quartus
20、II Handbook第3 卷“Synopsys VCS Support”与Cadence NC-Sim软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第3卷“Cadence NC-Sim Support”与Synopsis PrimeTime软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第3 卷“Synopsys PrimeTime Support”与Cadence Encounter Conformal软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第
21、3 卷“Cadence Encounter Conformal Equivalency Checker Support”与Synopsys Formality软件一起使用Quartus II软件Altera 网站 Quartus II Handbook第3卷“Synopsys Formality Support”第1章: 设计流程命令行设计流程16 QUARTUS II简介 ALTERA公司Programmerquartus_pgmTiming Analyzerquartus_tanAnalysis ,X Tcl?G -c r此命令将在完整编译过程中运行 quartus_map、quartus
22、_fit、quartus_asm 和quartus_tan 可执行文件。根据不同的设置,它还可能运行可选的quartus_drc、 quartus_eda 和 quartus_cdb 可执行文件。quartus_cpfConvert Programming Files将编程文件转换为辅助编程文件格式。quartus_stpSignalTapII Logic Analyzer建立SignalTap II文件( .stp)。 在Assembler之后运行时,器件全速运行的情况下,SignalTapII Logic Analyzer捕获内部器件节点信号。quartus_swbSoftware Bui
23、lder 为Excalibur 嵌入式处理器进行设计。quartus_shTcl Shell 为Quartus II 软件提供Tcl 脚本shell。表 3. 命令行可执行文件 (第 2部分,共 2部分)可执行文件标题功能!获取有关Quartus II 可执行文件的帮助信息若要获取Quartus II每个可执行文件命令行选项的帮助信息,请在命令提示符下键入以下命令之一:-hr-helpr-help=r还可以使用Quartus II Command-Line Execu table和Tcl API Help Browser获取有 关命令行可执行文件的帮助信息,Help Browser是基于Tc l 和Tk 的GUI,可以用它浏览命令行和Tcl API帮助信息。若要使用此帮助,请在命令提示符下键入以下命令:quartus_sh -qhelpr