收藏 分享(赏)

自动售饮料机逻辑电路的设计和仿真.doc.doc

上传人:微传9988 文档编号:2539586 上传时间:2018-09-21 格式:DOC 页数:12 大小:1.58MB
下载 相关 举报
自动售饮料机逻辑电路的设计和仿真.doc.doc_第1页
第1页 / 共12页
自动售饮料机逻辑电路的设计和仿真.doc.doc_第2页
第2页 / 共12页
自动售饮料机逻辑电路的设计和仿真.doc.doc_第3页
第3页 / 共12页
自动售饮料机逻辑电路的设计和仿真.doc.doc_第4页
第4页 / 共12页
自动售饮料机逻辑电路的设计和仿真.doc.doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

1、目 录引言 (1)1 设计要求的提出和功能的构想 (1)2 分析设计要求并画出原始状态图 (1)3 程序设计 (3)4 时序仿真 (6)4.1 创建 VHDL 源文件 (6)4.2 选择合适的器件 (7)4.3 编译程序 (7)4.4 仿真波形 (7)4.5 仿真波形分析 (8)结束语 (9)参考文献 (10)英文摘要 (10)致谢 (10)自动售饮料机逻辑电路的设计和仿真摘要: 本文基于 VHDL 语言对自动售饮料机的逻辑电路进行了逻辑设计和仿真。该电路可识别 1 元和 5 角硬币,实现购买 3 种不同价格的饮料并且具有找零功能。本设计在MAX+PLUS中实现了逻辑仿真并给出正确的仿真波形图

2、。关键词: MAX+PLUS;VHDL;自动售饮料机引言 随着集成电路技术的快速发展,EDA(Electronic Design Automation 电子设计自动化)技术已经成为电路系统分析和设计的有力工具。EDA 技术使得硬件电路设计尤其是数字电路系统的设计变得如同软件设计一样方便快捷、易于修改。本文采用具有很强硬件描述能力的 VHDL 语言,对自动售饮料机的逻辑电路进行了设计,并在 MAX+Plus软件中仿真实现。1 设计要求的提出和功能的构想 12 该饮料机能识别 0.5 元和 1.0 元两种硬币; 售出 3 种不同价格的饮料,饮料价格分别为 1.5 元、2.0 元和 2.5 元; 具

3、有找零功能; 购买者能自主选择所购买的饮料; 饮料机在每卖出一次饮料后能自动复位。因为饮料的价格最高为 2.5 元,所以设计饮料机最多可接受 3.0 元的硬币。2 分析设计要求并画出原始状态图该自动售饮料机设有一个投币孔,通过传感器来识别两种硬币,给出两个不同的信号。在此用 half_dollar 和 one_dollar 分别表示投入 0.5 元和 1.0 元硬币后电路接收到的两个信号;三个饮料选择按键 choose01 表示选择价格为 1.5 元的饮料,choose10 表示选择价格为 2.0 元的饮料, choose11 表示选择价格为 2.5元的饮料;rest 表示复位按键;有 2 个

4、输出口分别为饮料出口 dispense 和找零出口 out1;用 s0 表示初始状态,s1 表示投入 0.5 元硬币时的状态,s2 表示投入1.0 元硬币时的状态,s3 表示投入 1.5 元硬币时的状态, s4 表示投入 2.0 元时的状态;clk 表示时钟信号;机器最多接受的钱币为 3.0 元。当投入的钱币到达 1.5 元或高于 1.5 元时机器处于出售饮料的状态。当到达1.5 元时如果选择购买 1.5 元的饮料(choose01)则系统给出一个饮料,即 dispense为高电平一次。如果投入的钱币到达 2.0 元并且选择购买 1.5 元的饮料则系统显示给出一个饮料并找出 1 枚 0.5 元

5、的硬币,即 dispense 为高电平一次 out1 为高电平一次。如果选择购买 2.0 元的饮料(choose10),则系统显示给出一个 2.0 元的饮料,即饮料输出信号 dispense 为高电平一次。依次类推。图 1.1 为本次设计所构想的状态图。图 1.1 状态图3 程序设计 34状态0投入 0.5 元状态1状态2投入 1.0 元状态3状态4不购买10购买10购买01不购买01购买11不购买10购买11购买10购买01购买10购买11购买10购买 11投入 0.5 元投入 0.5 元投入 0.5 元投入 0.5 元投入 1.0 元投入 1.0 元投入 1.0 元投入 1.0 元VHDL

6、(Very High Speed Hardware Description Language 高速硬件描述语言)是硬件描述语言中应用最广泛的一种。是由美国国防部于 1983 年创建,由IEEE 进一步发展并在 1987 年定为“IEEE1076/1987 标准版本” 。由于 VHDL 支持硬件设计、综合、仿真和测试,在电子设计领域得到广泛的应用和普及。IEEE 又于 1993 年重新对 VHDL 进行修订,形成了新的标准,即 IEEE STD 1067-1993。VHDL 具有一系列的优点:VHDL 具有很强的硬件描述能力,能够从多个层次对数字系统进行建模,从高层次的行为描述到低层次的 RTL

7、 描述以及门结构描述都能实现,尤其是系统级的描述能力是其他硬件描述语言无法比拟的。良好的通用性,用 VHDL 设计的电路不受硬件电路元件的支配,与开发软件平台无关,具有很强的通用性。支持多种设计方法,可以采用自顶向下、自底向上和混合方法三种形式,尤其是自顶向下的设计方法是 VHDL 独有的。便于更新。结构易读、易懂。所以这次程序的编写采用 VHDL 来完成。根据上述对自动售饮料机逻辑状态的分析,编写程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stmch1 isport(

8、clk , rst ,half_dollar ,one_dollar: in std_logic; choose :in std_logic_vector(1 downto 0);out1 ,dispense: out std_logic);end stmch1;architecture behave of stmch1 istype state_values is (s2 , s0 , s1 ,s3 ,s4);signal state , next_state: state_values;beginprocess (clk , rst)beginif rst = 1 thenstate if (half_dollar=1) thennext_state if (half_dollar=1) thennext_state if (half_dollar=1 )thenif (choose=“01“) thendispenseif (choose=“01“) then dispenseif(choose=“01“) thendispense”按钮,所选信号出现在右边的“Selected Nodes VHDL; Beverage Dispenser

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 学术论文 > 大学论文

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报