收藏 分享(赏)

数字系统设计实践课程设计报告(数字钟).doc

上传人:dzzj200808 文档编号:2323422 上传时间:2018-09-10 格式:DOC 页数:10 大小:335.50KB
下载 相关 举报
数字系统设计实践课程设计报告(数字钟).doc_第1页
第1页 / 共10页
数字系统设计实践课程设计报告(数字钟).doc_第2页
第2页 / 共10页
数字系统设计实践课程设计报告(数字钟).doc_第3页
第3页 / 共10页
数字系统设计实践课程设计报告(数字钟).doc_第4页
第4页 / 共10页
数字系统设计实践课程设计报告(数字钟).doc_第5页
第5页 / 共10页
点击查看更多>>
资源描述

1、 评分:_数字系统设计实践课程设计报告设计题目 : 数 字 钟 的 设 计 程 序 班 级: 姓名: 学号: 指导教师: 实验日期: 年 月 日1.秒、分 60 进制计数模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SECOND ISPORT(CLK,RESET:IN STD_LOGIC;DATEOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);COUT:OUT STD_LOGIC);END SECOND;ARCHITECTURE BEHAV OF SE

2、COND ISSIGNAL OLBR:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL OHBR:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,RESET)BEGINIF(RESET=1)THENOLBR4)THENOLBR8)THENOLBR1)THENOLBR8)THENOLBRDAOUTDAOUTDAOUTDAOUTDAOUTDAOUTNULL;END CASE;END PROCESS;END FUN;4.译码模块Library ieee;use ieee.std_logic_1164.all;entity show1

3、isport(num:in std_logic_vector(3 downto 0);Y:out std_logic_VECTOR(6 DOWNTO 0);end show1;architecture behav of show1 isbeginprocessbegincase num iswhen“0000“=yyyyyyyyyyyHORHORHORHORNULL;END CASE;END PROCESS P2;SCTLSPEAKERSPEAKERNULL;END CASE;END PROCESS;END BEHAV;花样显示程序LIBRARY IEEE;USE IEEE.STD_LOGIC

4、_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR1 ISPORT(CLK:IN STD_LOGIC;LED:OUT STD_LOGIC_VECTOR(11 DOWNTO 0);END COLOR1;ARCHITECTURE BEHAV OF COLOR1 ISSIGNAL COUNT:INTEGER RANGE 0 TO 15;BEGINP1:PROCESS(CLK)BEGINIF(CLKEVENT AND CLK=1)THENCOUNTLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLEDLED=“111111111111“;END CASE;END PROCESS P2;END BEHAV;整点宝石:花样显示:7.信号选择模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX21 ISPORT(S,CLK,BOTTON:IN STD_LOGIC;Y:OUT STD_LOGIC);END MUX21;ARCHITECTURE BEHAV OF MUX21 ISBEGINY=CLK WHEN S=0ELSE BOTTON;END BEHAV;8 顶层模块

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 高等教育 > 大学课件

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报