基于VHDL的电梯控制系统设计.docx

相关 举报
资源描述
精品文档 VHD改字系统设计与测试实验报告 基于VHDLI勺电梯控制系统设计 一、设计背景及说明 随着高层建筑的不断涌现,对电梯的需求也与日俱增,电梯已经成为我们 日常生活中不可缺少的部分,稳定可靠性高的电梯系统成为了电梯领域的新需 求。现在基于VHDL硬件描述语言,用FPGA为控制芯片控制完成一个简单的 层楼的电梯控制系统设计。 我们常见的电梯控制系统功能都包括:上升请求、下降请求、电梯门控、楼 层显示灯、电梯运动方向显示、超载、报警、电梯内请求信号等。根据这些常用 的信号设计一个电梯系统,实现所要求的功能。 控制方式的选择: 1)内部请求优先控制方式 内部请求控制方式类似于出租车的工作方式, 先将车上的人送至目地, 再去 载客。作为通用型电梯应该服务于大多数人,必须考虑电梯对内外请求的响应。 在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人的请求冲突时, 外部人的请求信号可能被长时间忽略,因为它不能作为通用型电梯的设计方案。 2)单层层停控制方案 单层层停控制方式等同于火车运行方式, 遇到站即停止、 开门。 这种方案保 证所有的人的请求都能得到响应。 然而这样对电梯的效率产生了消极的影响: 不 必要的等待消耗了大量时间, 而且电梯的运作与用户的请求无关, 当无请求时电 梯也照常跑空车, 浪费了大量的电能。 对于用户而言这种控制方式的请求响应时 间也不是很快,因而也不是理想的电梯控制设计方案。 3)方向优先控制式方式方案 方向优先控制是指电梯运行到某一层楼时, 先考虑这一层楼是否有请求: 有 则停止; 无则继续上升或者下降。 停止后再启动时, 考虑上方或者下方是否有请 求,有则继续前进,无则停止。检测后方是否有请求,有请求则转向运行,无请 求则维持停止状态。这种运作方式下,电梯对用户的请求相应率为 100%,而且 响应的时间较短。 方向优先控制方式的效率远远大于单向层层停等控制方式的效率。 而且, 方 向控制方式下, 电梯在维持停止状态的时候可以进入省电模式, 又能节省大量的 电能。在本设计中采用方向优先控制方式。 电梯的输入信号分析 电梯的输入信号分析主要包括外部输入信号和内部输入信息的分析。 对于电 梯外部输入信号: 每一层电梯门外需要有上升请求按钮和下降请求按钮, 其中一 楼电梯门外只有上升请求按钮, 6 楼电梯门外只有下降请求按钮。电梯内部输入 信号包括 6 个前往楼层按钮、 提前关门按钮、 延时关门按钮、 电梯异常求救按钮, 另外还有超重等警告信号输入。 1 欢迎下载 。 精品文档 电梯的输出信号分析: 电梯的输出信号分析也主要包括外部输出信号和内部输出信息的分析。 对于 电梯外部信号包括上升请求按钮和下降请求按钮是否被按下指示信号灯、 电梯当 前所在楼层指示信号、电梯运行方向指示信号。电梯内部输出信号包括6个前往 楼层按钮是否被按下指示信号、是否超重等告警指示信号、电梯当前所在楼层指 示信号、以及电梯运行方向指示信号。 电梯运行规则分析: 当电梯处于上升模式时,只响应比电梯所在位置更高的上楼信号, 由下至上 依次执行,直到最后一个上楼请求执行完毕,如果高层有下楼请求时,则直接开 到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升模 式相反。 电梯系统框图如下图所示: 电梯按键 LED 电机控制系统 按键输入乘坐电梯者所想要去的楼层, 控制电梯门的开关,可编程逻辑芯片 接收到信号后进行处理,发送信号给控制电机系统来控制电梯的上升下降等状 态,同时通过LED数码管显示所在的楼层,以及电梯的运动状态。 该控制器完成6层电梯的载客服务,而且遵循方向优先原则,并能相应提前 关门、延时关门,并且有超载报警和故障报警。同时指示电梯的运行情况以及电 梯内外请求信息。 、设计框图及模块说明 设计电梯系统框图如下图所示: 系统时钟 超载 故障清除 关门中断 提前关门 楼层请求 FPGA q信号 存储 中央处理器 请求信号显 示 门开关停 楼层显示 电梯升降停 超载、故障 报警 电梯系统大致包括4个模块: 1)外部数据采集模块 对外部信号采集、处理要求电梯控制器:实现对外部请求信号的实时、准确 采集;准确实时地捕捉达到楼层的信号; 有效防止楼层到达信号、外部请求信号 的误判。采用FPGM系统控制核心,系统时钟频率足够满足此系统实时采集数 据的要求。外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器, 关门中断信号及超载信号则产生于压力传感器。 2)信号存储模块 电梯控制系统的请求输入信号有18个(电梯外有6个上升请求和6个下降 请求的用户输入端口,电梯内有 6个请求用户输入端口),由于系统对内外请求 没有设置优先级,各楼层的内外请求信号被采集后可先进行运算, 再存到存储器 内。要注意的是电梯运行过程中,由于用户的请求信号的输入是离散的, 而且系 统对请求的响应也是离散的,因此请求信号的存储要新的请求信号不能覆盖原来 的请求信号,只有响应动作完成后才能消除存储器内对应的请求信号位。 3)基于FPGA勺中央处理器模块 中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等 信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有 9种状态: 等待、上升、下降、开门、关门、停止、休眠、超载报警及故障报警状态。一般 情况下电梯的工作始点是第一层,起始状态是等待状态,启动条件是接受上升请 求。超载状态时电梯关门动作取消,同时发出警报,直到警报被清除(看门狗信 号有效的条件是一层楼连续发生关门中断情况超过 3次)o本系统由请求信号启 动,运行中每检测到一个到达楼层信号, 再参考原方向信号来决定是否停止, 转 向等动作。 系统状态流程图如下图所示: 继续 前进 到底层 转向 看门狗信 号有效 继续 号有效 前进 出现 请求 请求未响 应完毕 停止 动作 完成 停止信 号有效 到顶层 转向 等待 时间 超时 4)信号的输出、显示模块 本系统的输出信号有两种:一种是电机的升降控制信号(两位)和开门 /关 门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请 求显示等) 电机控制信号一般需要两位,本系统中电机有3种工作状态:正转、反转和 停转状态。两位控制信号作为一个三路开关的选通信号, 此三路开关选用模拟电 5欢迎下载 精品文档 子开关。 系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征运动方向 箭头指示灯的开关信号。LED七段数码管显示部分在前面的作业中已经做了详细 的介绍及说明,在本系统中省略。 本系统具有请求信号显示功能,结合方向显示,可以减少用户对同一请求的 输入次数,这样就延长了电梯按键的寿命。 三、电梯控制程序设计源代码及端口说明 :爰 rdfcarti ……―…一…… " 、 : ^— clk dcor[1 ..□]1 full led[6..O] deng ted_c_u[5..O) quick led_c_d(5..O] clr led_d[5„.O] G_ui WHiwawe c_u2 ud c:_u3 alarm C_ju4 up c_u5 dlown c_d2 C_CI3 c_d4 c_jd5 c=d6 di dl2 d3 d4 d5 dIB Q1 酸 □3 g4 05 或 T — K修 T乡 —kl — % >44— £ — 乡4 多4 1^— f乡 i宅 i步 i乡. 孑事 r 炉. r乡 +禽一 i / r 岁 I多 r 京 h 至 I w T W 1 电: 1 岁 卫=inst 输入端口信号说明: clk :时钟信号,2Hz; clr :清除警报信号,高有效,清除故障报警; c_u1、c_u2、c_u3、c_u4、c_u5:电梯外人的上升请求; c_d2、c_d3、c_d4、c_d5、c_d6:电梯外人的下降请求; deng:关门中断,用于在需要等待时,让电梯门一直打开,停止运动; di、d2、d3、d4、d5、d6:电梯内人的请求信号; full :超载信号,高时表示电梯内的人过多,超载报警; g1、g2、g3、g4、g5、g6:到达楼层信号,数码管LED将显示其值; quick :提前关门信号,高有效,当为高时,电梯门马上关闭; 输出端口信号说明: door[1:0] :电梯门控信号; led[6:0] :电梯所在楼层显示; led_c_u[5:0] :电梯外人上升请求信号显示; led_c_d[5:0] :电梯外人下降请求信号显示; led_d[5:0] :电梯内请求信号显示; alarm :超载警告信号; up:电梯运动状态显示,高时表示上升; down:电梯运动状态显示,高时表示为下降; ud:电机控制信号,控制电梯的上升下降,“1”表示为上升,“0”表示为下降; wawawa看门狗报警信号,高时表示故障报警。 源代码如下所示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is 时钟信号(频率为 2Hz) 超载、关门中断、提前关门清 电梯外人的上升请求信号 port ( clk : in std_logic; -- full,deng,quick,clr : in std_logic; -- 除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; -- 。 7 欢迎下载 精品文档 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; -- d1,d2,d3,d4,d5,d6 : in std_logic; -- g1,g2,g3,g4,g5,g6 : in std_logic; - door : out std_logic_vector(1 downto 0); - led : out std_logic_vector(6 downto 0); -- led_c_u:out std_logic_vector(5 downto 0); -- led_c_d:out std_logic_vector(5 downto 0); -- led_d : out std_logic_vector(5 downto 0); -- wahaha : out std_logic; -- ud,alarm : out std_logic; -- 号 up,down : out std_logic ); - end dianti; architecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic; - signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic; -- 号 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic; -- 号 signal q:integer range 0 to 1; - signal q1:integer range 0 to 6; - signal q2:integer range 0 to 9; - signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto signal opendoor:std_logic; - signal updown:std_logic; - signal en_up,en_dw:std_logic; -- 号 电梯外人的下降请求信号 电梯内人的请求信号 到达楼层信号 电梯门控制信号 电梯所在楼层显示 电梯外人上升请求信号显示 电梯外人下降请求信号显示 电梯内请求信号显示 看门狗报警信号 电梯运动方向显示,超载警告信 电机控制信号和电梯运动 电梯内人请求信号寄存信号 电梯外人上升请求信号寄存信 电梯外人下降请求信号寄存信 分频信号 关门延时计数器 看门狗计数器 0); -- 电梯内外请求信号寄存器 开门使能信号 电梯运动方向信号寄存器 预备上升、 预备下降预操作使能信 begin com:process(clk) begin if clk'event and clk='1' then if clr='1' then q1<=0;q2<=0;wahaha<='0'; -- 清除故 障报警 elsif full='1' then alarm<='1'; q1<=0; -- 超载报警 if q1>=3 then door<="10"; else door<="00"; end if; elsif q=1 then q<=0;alarm<='0'; if q2=3 then wahaha<='1'; -- 故障报 警 else if opendoor='1' then door<="10";q1<=0;q2<=0;up<='0';down<='0'; 开门操作 elsif en_up='1' then 操作 if deng='1' then door<="10";q1<=0;q2<=q2+1; 中断 elsif quick='1' then q1<=3; -- 关门 elsif q1=6 then door<="00";updown<='1';up<='1'; -- 升状态 elsif q1>=3 then door<="01";q1<=q1+1; 状态 else q1<=q1+1;door<="00"; -- 态 end if; elsif en_dw='1' then 作 上升预 关门 提前 关门完毕,电梯进入上 电梯进入关门 电梯进入等待状 下降预操 if deng='1' then door<="10";q1<=0;q2<=q2+1; 9 欢迎下载 。 精品文档 elsif quick='1' then q1<=3; elsif q1=6 then door<="00";updown<='0';down<='1'; elsif q1>=3 then door<="01";q1<=q1+1; else q1<=q1+1;door<="00"; end if; end if; if g1='1' then led<="1001111"; -- 电梯到达 1 楼,数码管 显示 1 if d11='1' or c_u11='1' then d11<='0'; c_u11<='0';opendoor<='1'; -- 有当前层的请求, 则电梯进入开门状态 elsif dd_cc>"000001" then en_up<='1'; opendoor<='0'; -- 有上升请求, 则电梯进入预备上升状态 elsif dd_cc="000000" then opendoor<='0'; -- 无请求时,电梯停在 1 楼待机 end if; elsif g2='1' then led<="0010010"; -- 电梯到达 2 楼,数码管 显示 2 if updown='1' then -- 电梯前一运动状态位上 升 if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1'; -- 有当前层的请求, 则电梯进入开门状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0'; -- 有上升请求, 则电梯进入预备上升状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0'; -- 有下降请求, 则电梯进入预备下降状态 end if; -- 电梯前一运动状态为下降 elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1'; -- 有当前层的请求, 则电梯进入开门状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0'; -- 有下降请求, 则电梯进入预备下降状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0'; -- 有上升请求, 则电梯进入预备上升状态 end if; elsif g3='1' then led<="0000110"; -- 电梯到达 3 楼,数码管 显示 3 if updown='1' then if d33='1' or c_u33='1' then d33<='0'; c_u33<='0';opendoor<='1'; elsif dd_cc>"000111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0'; end if; elsif d33='1' or c_d33='1' then d33<='0'; c_d33<='0'; opendoor<='1'; elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"000111" then en_up<='1'; opendoor<='0'; end if; elsif g4='1' then led<="1001100"; -- 电梯到达 4 楼,数码 管显示 4 if updown='1' then if d44='1' or c_u44='1' then d44<='0'; c_u44<='0'; opendoor<='1'; elsif dd_cc>"001111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0'; end if; elsif d44='1' or c_d44='1' then d44<='0'; c_d44<='0'; opendoor<='1'; elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"001111" then en_up<='1'; opendoor<='0'; end if; elsif g5='1' then led<="0100100"; -- 电梯到达 5 楼,数码管 显示 5 if updown='1' then if d55='1' or c_u55='1' then d55<='0'; c_u55<='0';opendoor<='1'; elsif dd_cc>"011111" then en_up<='1'; opendoor<='0'; elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0'; end if; elsif d55='1' or c_d55='1' then d55<='0'; c_d55<='0';opendoor<='1'; elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0'; elsif dd_cc>"011111" then en_up<='1'; opendoor<='0'; end if; elsif g6='1' then led<="0100000"; -- 电梯到达 6 楼,数码 管显示 6 if d66='1' or c_d66='1' then d66<='0'; c_d66<='0';opendoor<='1'; elsif dd_cc<"100000" then en_dw<='1'; opendoor<='0'; end if; else en_up<='0';en_dw<='0'; -- 电梯进入上升或下降状态 end if; end if; else q<=1;alarm<='0'; -- 清除超载报警 if d1='1' or d1='0' then d11<=d1; -- 对电梯内人请 求信号进行检测和寄存 elsif d2='1' or d2='0' then d22<=d2; elsif d3='1' or d3='0' then d33<=d3; elsif d4='1' or d4='0' then d44<=d4; elsif d5='1' or d5='0' then d55<=d5; elsif d6='1' or d6='0' then d66<=d6; end if; if c_u1='1' or c_u1='0' then c_u11<=c_u1; -- 对电梯外人上升 请求信号进行检测和寄存 elsif c_u2='1' or c_u2='0' then c_u22<=c_u2; elsif c_u3='1' or c_u3='0' then c_u33<=c_u3; elsif c_u4='1' or c_u4='0' then c_u44<=c_u4; elsif c_u5='1' or c_u5='0' then c_u55<=c_u5; end if; 请求信号进行检测和寄存 if c_d2='1' or c_d2='0' then c_d22<=c_d2; 对电梯外人下降 elsif c_d3='1' or c_d3='0' then c_d33<=c_d3; elsif c_d4='1' or c_d4='0' then c_d44<=c_d4; elsif c_d5='1' or c_d5='0' then c_d55<=c_d5; elsif c_d6='1' or c_d6='0' then c_d66<=c_d6; end if; dd<=d66&d55&d44&d33&d22&d11; -- cc_u<='0'&c_u55&c_u44&c_u33&c_u22&c_u11; -- cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&'0'; -- dd_cc<=dd or cc_u or cc_d; -- 综合 end if; ud<=updown; -- 电梯内人请求信号并置 电梯外人上升请求信号并置 电梯外人下降请求信号并置 电梯内、外人请求信号进行 电梯运动状态显示 led_d<=dd; led_c_u<=cc_u; led_c_d<=cc_d; 电梯内人请求信号显示 电梯外人上升请求信号显示 电梯外人下降请求信号显示 end if; end process; end behav; 此次电梯控制系统设计使用的是 Altera 公司的 MAX-II , 由下资源使用情况 图可见这款芯片足够满足本次设计需求。 13 欢迎下载。 精品文档 Flow Status Successful - Fri Jun 15 1603:25 2012 Quwtus II Version 中口 Build 132 02/£5/2009 SJ Full Version Revi sicn Name di anti Top-lev^l Entity Nsmc di «ikti family MW( II Bevi ce EFM240T100C3 Timing Models Filial Het timing require™ents Yes Total c elements 76 / 240 ( 32 K ) Totsl pins 59 / SO ( 74 % ) TotaiL virtual pins Q UFM blocks 0 / 1 ( 0 % ) 四、仿真结果及说明 假设电梯初始状态在一楼,此时2、3楼电梯外有人要下楼,4楼电梯外有 人要上楼,在此后输入等待、快速关门、超载等信号, 观察仿真图得出结论: 口 2.Diie l.Dii4 5.0US E.Diifii 日.口U总 9 Dus II.Dua 12.0trs 13.1 Name: muf删皿加.皿删加删飞删加刷皿皿[皿珊.删删删删删mnuin i r_n_Ji J 11 n i n 0 0 -l* (fcwn al aim 用qr noc 00 3工花园匚 C75 6 uxocn DOXCfi D01D0Q 000000 omna DOCOHD rozcozi 山ql 3琥 〜IE lnd_d e妮 T-炉 唧 mhag □ boc厂5rm w 仃面~iter戡~ar婷掰mi以~冷 i Z30CZ u^- lull 11Z £ jd l>0 _r, n 1CCOZO 101110 1(0110 1 ooana 000010 □cKoao oooooo 2a doieid ~3n 00011口 mom 口 000000 cam] 电梯控制程序仿真全图 注:实际使用时电梯的时钟为2Hz,为了仿真时便于观察我们在仿真时,将频率 加倍为25MHz ZKI.Qns! 400.0ns BOO.OhE OZO.Dfis 1.0jb 1.2us 1.4 us 1.6u$ Z.Dus 2.2us 2.4u& ZE咤 20us 3.0hjb 3.2>ue l>" c_d3 a ni* c_d2 0 ed3 a c_lh! a d& a 1 以q i Q" deng a 0 Itf^clr a *旬| a l>-由 a 0 ■rf-gJ a a irf- g? a ,gl 1 0 叩 a ud 0 down 1 -ci> alarm Q daor S0:i 3 Wd 口三 A ied_d - lgd_c_u - 立 led_c_d W q1 D6 U/ if? DO 苗ddl 必打户 ■ / cc_u - 劭 cc_d . Name: _VbI nt iif. uwiuu. i unmiuuiujui ojitjuui ifmut'uwmjirowji 001 ocoan * ixmpo 1 ornom [ otmo ' ;0000SC Dxmo X moogj 「iqiqw 1 memo omioo I omoio y CO X 诂 X ] jtmD oo y 01 x 00 OOIOJO 00DI10 1DOCOJ DOODOa 1Q111Q fflUMO 10Q11Q DOCOOO OKHO 电梯控制程序仿真局部放大图-1 注:1.电梯停在一楼时,接受到请求信号 c_d3、c_d2、c_u4和d6,并把请求 信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d 显示用户的请求。 2 .电梯经过准备上升状态后,进入上升状态,到达 2楼、3楼时,由于2、 3楼的乘客要求的是下降,所以不停继续前进。 3 .电梯上升到4楼时,响应请求(c_u4),开门载客;载客时电梯的门状态 door先由10 (电梯门打开)跳到00 (等待)再跳到01 (电梯门关闭),完成乘 客的进入;此后进入预备上升状态。 17欢迎下载 N婀也 Jd予 2.BU5 2.6i J.Ous J.2liS; J.4U5 3 6l15 J &J5 4.Du5 4.2u& 4.4U-5- 4 &J5 4.DU5 S.UU5 5.2U5- O.Jlltf: mmrnnimmimmfmmmmmmjmmTOUimiRWJWiwjimwuOTnmnuiiwMwmio "LrLn_rLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLnrLrLrLrLrLrLrLrLTLrLrLrLrLru 9-相 ■Jr- g ■#-Ji ^L*> wah-aha ml# town won donr lad 一叽d led_c_J 必qg nz聪 DCO DO W #d_ee 心cc u 口^ cc_d 00 zc 10OTK 01 □xmo M011Q KIC a □00000 1OTD0 100110 ooocoo DOOrflia oraro ccoFio 电梯控制程序仿真局部放大图-2 注:1. 电梯上升到6楼时,响应请求(d_6),开门卸客;进入预备下降状态。 2. 电梯下降经过5楼,4楼都不停,到达3楼开门卸客,电梯进入预备下 降状态。 卡垢g 52ug 5.4ue 5.&jS E.Ou& 52ug 6.4u4 5.5uS: 68ii自 7.0US 7.4ut 耳 US: 丁即白 Bl.qug 8.石用 BUjS 8.6i juinnnuurmjMniuuumuuuinnnuunnniuinuumuunnniuuumuuuinnnuunnniuumuuumuuuuunni WLTLTLf iRrLrLrLrLrLrLrLTrLmrLrLrLrLruLrLrLrLrLrLnTLTrLrLTrLrLnTLriRr __n n 1*-flysch 0 -lj, wahaha 0 _LJ 1 1 L -fc»( 0 -Kj^ ud □ -Ktf1 down a L Ttf ahrm 0 J L -4>* doer E ID ® X ID X «» 即 11clL ™ .如M ro 涧(® 到工叫 响」HJ(1£K m \ oi_J id ....JL « led DS 而工 E 3 teO - uuuuuu U旭 - 000000 ljfl(f_G_d - tmnoi omoio d/ ql DO 必嘘 DQ 口 JL1 一L 0 )L - JL 3 JL 3 _)L □ 必dd dZ dd_cjc ■ 必11 口 X 00001。 tfsP* CT JU r □ooood tl> tt_d - -X oocuio 电梯控制程序仿真局部放大图-3 精品文档 注:1.电梯到达3楼时,响应请求(c_d3),开门载客;进入预备下降状态。 2.电梯在预备下降状态下,电梯应超载(full='T ),发出超载警报alarm; 超载信号消失(full='0'),电梯重新进入预备下降状态。 4 .电梯接收到提前关门信号quick ,电梯跳过关门等待时间。仿真图中 q1 从1跳到3;进入关门状态。 5 .电梯接收到deng、c_d3和d3电梯重新进入预备下降状态,并且 c_d3和 d3信号都可以对q2 (q2<3时)进行清零处理。 6 .当连续的关门中断的次数超过 3次时,不认为是出自乘客的需要,而认 为是故障,并报警,等技术员处理完故障时,用 clr信号才可以清除报警。 电梯控制程序仿真局部放大图-4 注:1.电梯排除故障后继续运行。 7 .电梯执行完所有请求时电梯将停在1楼待机 五、设计总结说明 在电梯系统的设计中, 要考虑到层次的扩展, 当我们要加大层数时, 可对现 有的 6 曾电梯系统进行修改, 将用户请求变量的位数拓宽, 比如设计十层电梯系 统时, 可将电梯外上升请求 c_u 与 c_d 电梯外下降请求由 5 位拓宽为 9 位, 电梯 内用户请求信号由 6 位拓宽为 10 位,相应的电梯按键也要增加。 g 表示电梯所 在的层数,由 6 位拓宽为 10 位,每层状态判定也相应的增加。这样就可以实现 电梯层数的扩展。 通过本次电梯控制系统的设计使我对电梯工作系统以及 VHDLB语言有了更 加深入的了解,用VHDK件描述语言的形式来进行数字系统的设计灵活方便, 利用EDA软件进行编译优化极大地减少了电路设计时间和可能发生的错误, 降低 了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作 用。CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯系统中 得到广泛的应用, 从而使电梯由传统的继电器控制方式发展为计算机控制的一个 重要方向,成为当前电梯控制和技术改造的热点之一。 19欢迎下载 欢迎您的下载, 资料仅供套考! 致力为企业和个人提供合同协议, 策划案计划书,学习资料等等 打造全网一站式需求
展开阅读全文
相关搜索
收藏 分享(赏)
温馨提示:
道客多多所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。

当前位置:首页 > 学术论文 > 管理论文


本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报