八位补码器设计实验报告1、功能描述将八位二进制数除最高位(符号位)取反得到反码,然后反码加一得到补码;正数的原码、反码、补码都相同。例如: 原码 反码 补码00011010 00011010 00011010 (正数)10011010 11100101 11100110 (负数)2、元件及其 VHDL 代码补码器代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity complement is port (a: in std_logic_vector (7 downto 0); -定义输入数据a(70)b: out std_logic_vector (7 downto 0);-定义输出数据 b(70)end complement;architecture behave of complement is beginprocess (a)beginif (a (7) =0 ) then b=a; -当输入数据为正数,实现正数补码运算else b=1 -当输入数据为负数,实现负数补码运算end if;end process;end behave;