收藏 分享(赏)

vhdl巴克码发生和检测“01110010”.doc

上传人:精品资料 文档编号:10379111 上传时间:2019-11-05 格式:DOC 页数:3 大小:78.50KB
下载 相关 举报
vhdl巴克码发生和检测“01110010”.doc_第1页
第1页 / 共3页
vhdl巴克码发生和检测“01110010”.doc_第2页
第2页 / 共3页
vhdl巴克码发生和检测“01110010”.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

1、巴克码发生器“01110010”library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bak1 isport(clk,reset: in std_logic;dout:out std_logic);end bak1;architecture a of bak1 issignal count :std_logic_vector(2 downto 0);signal tmp1:std_logic;begindout0);elsif rising_edge(clk) thencounttmp1t

2、mp1tmp1tmp1tmp1tmp1tmp1tmp1tmp1=0;end case;end if;end process;end a;巴克码检测器“01110010”library ieee;use ieee.std_logic_1164.all;entity JianMaQi isport(data,clk,reset:in std_logic;dout:out std_logic);end;architecture a of JianMaQi issignal q0,q1,q2,q3,q4,q5,q6,q7: std_logic;beginprocess(clk,data,reset)beginif(clkevent and clk=1) thenq0=data;q1=q0;q2=q1;q3=q2;q4=q3;q5=q4;q6=q5;q7=q6;end if;end process;process(clk)variable q:std_logic;beginq:=(not q7)and q6 and q5 and q4 and (not q3) and (not q2) and q1 and (not q0);dout=q and reset;end process;end;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 企业管理 > 管理学资料

本站链接:文库   一言   我酷   合作


客服QQ:2549714901微博号:道客多多官方知乎号:道客多多

经营许可证编号: 粤ICP备2021046453号世界地图

道客多多©版权所有2020-2025营业执照举报